diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_2.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_2.ip
index 3203cad1f51187913855d3ac4db4ef6cc2324f95..65635fefb40b706b105d1cfa374bc9aa9e57e953 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_2.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_2.ip
@@ -1,1487 +1,1401 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_avs_common_mm_2</ipxact:library>
-  <ipxact:name>avs_common_mm_2</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_2</spirit:library>
+  <spirit:name>avs_common_mm_2</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_avs_common_mm_2</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_2</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element avs_common_mm_2
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;3&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>3</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
       <altera:interface_mapping altera:name="address" altera:internal="avs_common_mm_2.address" altera:type="conduit" altera:dir="end">
@@ -1521,5 +1435,5 @@
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_3.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_3.ip
new file mode 100644
index 0000000000000000000000000000000000000000..7e62c0e5cfeb5a71311b2dcb6d6a533e303de966
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_3.ip
@@ -0,0 +1,1439 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_3</spirit:library>
+  <spirit:name>avs_common_mm_3</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_3</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>3</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="avs_common_mm_3.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="avs_common_mm_3.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="avs_common_mm_3.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="avs_common_mm_3.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="avs_common_mm_3.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="avs_common_mm_3.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="avs_common_mm_3.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="avs_common_mm_3.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="avs_common_mm_3.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="avs_common_mm_3.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_4.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_4.ip
new file mode 100644
index 0000000000000000000000000000000000000000..89e9360cbabccfc28cac113c715faf8974ded49b
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_4.ip
@@ -0,0 +1,1447 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_4</spirit:library>
+  <spirit:name>avs_common_mm_4</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">8388608</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>20</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>20</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_4</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">21</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>21</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>21</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>8388608</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x800000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>23</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="avs_common_mm_4.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="avs_common_mm_4.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="avs_common_mm_4.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="avs_common_mm_4.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="avs_common_mm_4.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="avs_common_mm_4.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="avs_common_mm_4.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="avs_common_mm_4.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="avs_common_mm_4.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="avs_common_mm_4.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_5.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_5.ip
new file mode 100644
index 0000000000000000000000000000000000000000..52c36ea8cdc9b326a6f4a04b25f72143a348f25d
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_5.ip
@@ -0,0 +1,1447 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_5</spirit:library>
+  <spirit:name>avs_common_mm_5</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">16384</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_5</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>16384</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>14</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="avs_common_mm_5.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="avs_common_mm_5.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="avs_common_mm_5.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="avs_common_mm_5.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="avs_common_mm_5.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="avs_common_mm_5.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="avs_common_mm_5.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="avs_common_mm_5.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="avs_common_mm_5.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="avs_common_mm_5.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_6.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_6.ip
new file mode 100644
index 0000000000000000000000000000000000000000..332999f0c88db5a668799835f22a5b48c7f6a230
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_6.ip
@@ -0,0 +1,1447 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_6</spirit:library>
+  <spirit:name>avs_common_mm_6</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">16384</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_6</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">-1</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>16384</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>14</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>-1</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="avs_common_mm_6.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="avs_common_mm_6.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="avs_common_mm_6.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="avs_common_mm_6.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="avs_common_mm_6.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="avs_common_mm_6.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="avs_common_mm_6.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="avs_common_mm_6.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="avs_common_mm_6.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="avs_common_mm_6.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_7.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_7.ip
new file mode 100644
index 0000000000000000000000000000000000000000..c26574e14afbf9e308598adb9468efc1dd6291fd
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_7.ip
@@ -0,0 +1,1447 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_7</spirit:library>
+  <spirit:name>avs_common_mm_7</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">8388608</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>20</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>20</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_7</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">21</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>21</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>21</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>8388608</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x800000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>23</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="avs_common_mm_7.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="avs_common_mm_7.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="avs_common_mm_7.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="avs_common_mm_7.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="avs_common_mm_7.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="avs_common_mm_7.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="avs_common_mm_7.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="avs_common_mm_7.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="avs_common_mm_7.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="avs_common_mm_7.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_8.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_8.ip
new file mode 100644
index 0000000000000000000000000000000000000000..43653ab2a1657ee5077414f3d14085e75fa27ec2
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_8.ip
@@ -0,0 +1,1447 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_8</spirit:library>
+  <spirit:name>avs_common_mm_8</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">16384</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_avs_common_mm_8</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>16384</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>14</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="avs_common_mm_8.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="avs_common_mm_8.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="avs_common_mm_8.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="avs_common_mm_8.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="avs_common_mm_8.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="avs_common_mm_8.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="avs_common_mm_8.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="avs_common_mm_8.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="avs_common_mm_8.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="avs_common_mm_8.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_eth_0.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_eth_0.ip
index 4e21d21150344b6e7ed0b700bb14822d13c23b0b..04d50e67cc2b36ccd06352b5cfbb1aae0371b01f 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_eth_0.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_eth_0.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_avs_eth_0</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_avs_eth_0</spirit:library>
   <spirit:name>avs_eth_0</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -55,7 +55,7 @@
         <spirit:parameter>
           <spirit:name>associatedAddressablePoint</spirit:name>
           <spirit:displayName>Associated addressable interface</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_unb2c_minimal_avs_eth_0.mms_reg</spirit:value>
+          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_lofar2_unb2b_adc_avs_eth_0.mms_reg</spirit:value>
         </spirit:parameter>
         <spirit:parameter>
           <spirit:name>associatedClock</spirit:name>
@@ -2101,7 +2101,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_avs_eth_0</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_avs_eth_0</spirit:library>
       <spirit:name>avs2_eth_coe</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
@@ -2201,7 +2201,7 @@
                 <parameterValueMap>
                     <entry>
                         <key>associatedAddressablePoint</key>
-                        <value>qsys_unb2c_minimal_avs_eth_0.mms_reg</value>
+                        <value>qsys_lofar2_unb2b_adc_avs_eth_0.mms_reg</value>
                     </entry>
                     <entry>
                         <key>associatedClock</key>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_cpu_0.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_cpu_0.ip
index 39357e6ed8b9e7c91febbd9e1554e1fbf3c7caa7..adf4c447bd056ed52e13154a1f131b2fb9ffda57 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_cpu_0.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_cpu_0.ip
@@ -1,3671 +1,3568 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>Intel Corporation</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_cpu_0</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_cpu_0</ipxact:name>
-  <ipxact:version>19.1</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset_n</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_n</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset_req</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_req</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>data_master</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>d_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>byteenable</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>d_byteenable</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>d_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>d_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>waitrequest</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>d_waitrequest</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>d_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>d_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>debugaccess</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_mem_slave_debugaccess_to_roms</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="adaptsTo" type="string">
-          <ipxact:name>adaptsTo</ipxact:name>
-          <ipxact:displayName>Adapts to</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>SYMBOLS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dBSBigEndian" type="bit">
-          <ipxact:name>dBSBigEndian</ipxact:name>
-          <ipxact:displayName>dBS big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="doStreamReads" type="bit">
-          <ipxact:name>doStreamReads</ipxact:name>
-          <ipxact:displayName>Use flow control for read transfers</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="doStreamWrites" type="bit">
-          <ipxact:name>doStreamWrites</ipxact:name>
-          <ipxact:displayName>Use flow control for write transfers</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isAsynchronous" type="bit">
-          <ipxact:name>isAsynchronous</ipxact:name>
-          <ipxact:displayName>Is asynchronous</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Is big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isReadable" type="bit">
-          <ipxact:name>isReadable</ipxact:name>
-          <ipxact:displayName>Is readable</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isWriteable" type="bit">
-          <ipxact:name>isWriteable</ipxact:name>
-          <ipxact:displayName>Is writeable</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maxAddressWidth" type="int">
-          <ipxact:name>maxAddressWidth</ipxact:name>
-          <ipxact:displayName>Maximum address width</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>Intel Corporation</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_filterbank_cpu_0</spirit:library>
+  <spirit:name>qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0</spirit:name>
+  <spirit:version>18.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>custom_instruction_master</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="nios_custom_instruction" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readra</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>dummy_ci_port</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>CIName</spirit:name>
+          <spirit:displayName>CIName</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="CIName"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressWidth</spirit:name>
+          <spirit:displayName>addressWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressWidth">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockCycle</spirit:name>
+          <spirit:displayName>Clock cycles</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="clockCycle">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>enabled</spirit:name>
+          <spirit:displayName>enabled</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxAddressWidth</spirit:name>
+          <spirit:displayName>maxAddressWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxAddressWidth">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>opcodeExtension</spirit:name>
+          <spirit:displayName>opcodeExtension</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="opcodeExtension">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>sharedCombinationalAndMulticycle</spirit:name>
+          <spirit:displayName>sharedCombinationalAndMulticycle</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="sharedCombinationalAndMulticycle">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>data_master</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>byteenable</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_byteenable</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>debugaccess</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_debugaccess_to_roms</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>adaptsTo</spirit:name>
+          <spirit:displayName>Adapts to</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="adaptsTo"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dBSBigEndian</spirit:name>
+          <spirit:displayName>dBS big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="dBSBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamReads</spirit:name>
+          <spirit:displayName>Use flow control for read transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamReads">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamWrites</spirit:name>
+          <spirit:displayName>Use flow control for write transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamWrites">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isAsynchronous</spirit:name>
+          <spirit:displayName>Is asynchronous</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isAsynchronous">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Is big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isReadable</spirit:name>
+          <spirit:displayName>Is readable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isReadable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isWriteable</spirit:name>
+          <spirit:displayName>Is writeable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isWriteable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxAddressWidth</spirit:name>
+          <spirit:displayName>Maximum address width</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxAddressWidth">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="debug.providesServices" type="string">
-              <ipxact:name>debug.providesServices</ipxact:name>
-              <ipxact:value>master</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>debug.providesServices</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="debug.providesServices">master</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>instruction_master</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>i_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>i_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>i_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>waitrequest</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>i_waitrequest</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="adaptsTo" type="string">
-          <ipxact:name>adaptsTo</ipxact:name>
-          <ipxact:displayName>Adapts to</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>SYMBOLS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dBSBigEndian" type="bit">
-          <ipxact:name>dBSBigEndian</ipxact:name>
-          <ipxact:displayName>dBS big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="doStreamReads" type="bit">
-          <ipxact:name>doStreamReads</ipxact:name>
-          <ipxact:displayName>Use flow control for read transfers</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="doStreamWrites" type="bit">
-          <ipxact:name>doStreamWrites</ipxact:name>
-          <ipxact:displayName>Use flow control for write transfers</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isAsynchronous" type="bit">
-          <ipxact:name>isAsynchronous</ipxact:name>
-          <ipxact:displayName>Is asynchronous</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Is big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isReadable" type="bit">
-          <ipxact:name>isReadable</ipxact:name>
-          <ipxact:displayName>Is readable</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isWriteable" type="bit">
-          <ipxact:name>isWriteable</ipxact:name>
-          <ipxact:displayName>Is writeable</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maxAddressWidth" type="int">
-          <ipxact:name>maxAddressWidth</ipxact:name>
-          <ipxact:displayName>Maximum address width</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>irq</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>irq</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>irq</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedAddressablePoint" type="string">
-          <ipxact:name>associatedAddressablePoint</ipxact:name>
-          <ipxact:displayName>Associated addressable interface</ipxact:displayName>
-          <ipxact:value>qsys_lofar2_unb2b_adc_cpu_0.data_master</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="irqMap" type="string">
-          <ipxact:name>irqMap</ipxact:name>
-          <ipxact:displayName>IRQ Map</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="irqScheme" type="string">
-          <ipxact:name>irqScheme</ipxact:name>
-          <ipxact:displayName>Interrupt scheme</ipxact:displayName>
-          <ipxact:value>INDIVIDUAL_REQUESTS</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>debug_reset_request</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_reset_request</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedDirectReset" type="string">
-          <ipxact:name>associatedDirectReset</ipxact:name>
-          <ipxact:displayName>Associated direct reset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedResetSinks" type="string">
-          <ipxact:name>associatedResetSinks</ipxact:name>
-          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
-          <ipxact:value>none</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>debug_mem_slave</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_mem_slave_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>byteenable</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_mem_slave_byteenable</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>debugaccess</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_mem_slave_debugaccess</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_mem_slave_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_mem_slave_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>waitrequest</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_mem_slave_waitrequest</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_mem_slave_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>debug_mem_slave_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>2048</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>debug_mem_slave</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>byteenable</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_byteenable</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>debugaccess</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_debugaccess</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.hideDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.hideDevice</ipxact:name>
-              <ipxact:value>1</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>1</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="qsys.ui.connect" type="string">
-              <ipxact:name>qsys.ui.connect</ipxact:name>
-              <ipxact:value>instruction_master,data_master</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.hideDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.hideDevice">1</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">1</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>qsys.ui.connect</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="qsys.ui.connect">instruction_master,data_master</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>custom_instruction_master</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="nios_custom_instruction" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="nios_custom_instruction" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readra</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>dummy_ci_port</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="CIName" type="string">
-          <ipxact:name>CIName</ipxact:name>
-          <ipxact:displayName>CIName</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressWidth" type="int">
-          <ipxact:name>addressWidth</ipxact:name>
-          <ipxact:displayName>addressWidth</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clockCycle" type="int">
-          <ipxact:name>clockCycle</ipxact:name>
-          <ipxact:displayName>Clock cycles</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="enabled" type="bit">
-          <ipxact:name>enabled</ipxact:name>
-          <ipxact:displayName>enabled</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maxAddressWidth" type="int">
-          <ipxact:name>maxAddressWidth</ipxact:name>
-          <ipxact:displayName>maxAddressWidth</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="opcodeExtension" type="int">
-          <ipxact:name>opcodeExtension</ipxact:name>
-          <ipxact:displayName>opcodeExtension</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="sharedCombinationalAndMulticycle" type="bit">
-          <ipxact:name>sharedCombinationalAndMulticycle</ipxact:name>
-          <ipxact:displayName>sharedCombinationalAndMulticycle</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>altera_nios2_gen2</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_n</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_req</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>d_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>23</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>d_byteenable</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>d_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>d_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>d_waitrequest</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>d_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>d_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_mem_slave_debugaccess_to_roms</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>i_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>17</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>i_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>i_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>i_waitrequest</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>irq</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_reset_request</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_mem_slave_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>8</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_mem_slave_byteenable</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_mem_slave_debugaccess</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_mem_slave_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_mem_slave_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_mem_slave_waitrequest</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_mem_slave_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>debug_mem_slave_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>dummy_ci_port</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>debug_reset_request</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_reset_request</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">none</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>instruction_master</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>adaptsTo</spirit:name>
+          <spirit:displayName>Adapts to</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="adaptsTo"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dBSBigEndian</spirit:name>
+          <spirit:displayName>dBS big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="dBSBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamReads</spirit:name>
+          <spirit:displayName>Use flow control for read transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamReads">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamWrites</spirit:name>
+          <spirit:displayName>Use flow control for write transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamWrites">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isAsynchronous</spirit:name>
+          <spirit:displayName>Is asynchronous</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isAsynchronous">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Is big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isReadable</spirit:name>
+          <spirit:displayName>Is readable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isReadable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isWriteable</spirit:name>
+          <spirit:displayName>Is writeable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isWriteable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxAddressWidth</spirit:name>
+          <spirit:displayName>Maximum address width</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxAddressWidth">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>irq</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>irq</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>irq</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedAddressablePoint</spirit:name>
+          <spirit:displayName>Associated addressable interface</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_lofar2_unb2b_filterbank_cpu_0.data_master</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>irqMap</spirit:name>
+          <spirit:displayName>IRQ Map</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="irqMap"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>irqScheme</spirit:name>
+          <spirit:displayName>Interrupt scheme</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="irqScheme">INDIVIDUAL_REQUESTS</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset_n</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset_req</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_req</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>altera_nios2_gen2</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>dummy_ci_port</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>18</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_byteenable</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_debugaccess_to_roms</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>8</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_byteenable</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_debugaccess</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_reset_request</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>17</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>irq</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_req</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>Intel Corporation</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_cpu_0</ipxact:library>
-      <ipxact:name>altera_nios2_gen2</ipxact:name>
-      <ipxact:version>19.1</ipxact:version>
+      <spirit:vendor>Intel Corporation</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_filterbank_cpu_0</spirit:library>
+      <spirit:name>altera_nios2_gen2</spirit:name>
+      <spirit:version>18.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="tmr_enabled" type="bit">
-          <ipxact:name>tmr_enabled</ipxact:name>
-          <ipxact:displayName>Nios II Triple Mode Redundancy</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_disable_tmr_inj" type="bit">
-          <ipxact:name>setting_disable_tmr_inj</ipxact:name>
-          <ipxact:displayName>Disabled TMR Error Injection Port</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_showUnpublishedSettings" type="bit">
-          <ipxact:name>setting_showUnpublishedSettings</ipxact:name>
-          <ipxact:displayName>Show Unpublished Settings</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_showInternalSettings" type="bit">
-          <ipxact:name>setting_showInternalSettings</ipxact:name>
-          <ipxact:displayName>Show Internal Verification Settings</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_preciseIllegalMemAccessException" type="bit">
-          <ipxact:name>setting_preciseIllegalMemAccessException</ipxact:name>
-          <ipxact:displayName>Misaligned memory access</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_exportPCB" type="bit">
-          <ipxact:name>setting_exportPCB</ipxact:name>
-          <ipxact:displayName>setting_exportPCB</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_exportdebuginfo" type="bit">
-          <ipxact:name>setting_exportdebuginfo</ipxact:name>
-          <ipxact:displayName>Export Instruction Execution States</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_clearXBitsLDNonBypass" type="bit">
-          <ipxact:name>setting_clearXBitsLDNonBypass</ipxact:name>
-          <ipxact:displayName>Clear X data bits</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_bigEndian" type="bit">
-          <ipxact:name>setting_bigEndian</ipxact:name>
-          <ipxact:displayName>setting_bigEndian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_export_large_RAMs" type="bit">
-          <ipxact:name>setting_export_large_RAMs</ipxact:name>
-          <ipxact:displayName>Export Large RAMs</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_asic_enabled" type="bit">
-          <ipxact:name>setting_asic_enabled</ipxact:name>
-          <ipxact:displayName>ASIC enabled</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="register_file_por" type="bit">
-          <ipxact:name>register_file_por</ipxact:name>
-          <ipxact:displayName>Register File POR</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_asic_synopsys_translate_on_off" type="bit">
-          <ipxact:name>setting_asic_synopsys_translate_on_off</ipxact:name>
-          <ipxact:displayName>ASIC Synopsys translate</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_asic_third_party_synthesis" type="bit">
-          <ipxact:name>setting_asic_third_party_synthesis</ipxact:name>
-          <ipxact:displayName>ASIC third party synthesis</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_asic_add_scan_mode_input" type="bit">
-          <ipxact:name>setting_asic_add_scan_mode_input</ipxact:name>
-          <ipxact:displayName>ASIC add scan mode input</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_oci_version" type="int">
-          <ipxact:name>setting_oci_version</ipxact:name>
-          <ipxact:displayName>Nios II OCI Version</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_fast_register_read" type="bit">
-          <ipxact:name>setting_fast_register_read</ipxact:name>
-          <ipxact:displayName>Fast Register Read</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_exportHostDebugPort" type="bit">
-          <ipxact:name>setting_exportHostDebugPort</ipxact:name>
-          <ipxact:displayName>Export Debug Host Slave</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_oci_export_jtag_signals" type="bit">
-          <ipxact:name>setting_oci_export_jtag_signals</ipxact:name>
-          <ipxact:displayName>Export JTAG signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_avalonDebugPortPresent" type="bit">
-          <ipxact:name>setting_avalonDebugPortPresent</ipxact:name>
-          <ipxact:displayName>Avalon Debug Port Present</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_alwaysEncrypt" type="bit">
-          <ipxact:name>setting_alwaysEncrypt</ipxact:name>
-          <ipxact:displayName>Always encrypt</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="io_regionbase" type="int">
-          <ipxact:name>io_regionbase</ipxact:name>
-          <ipxact:displayName>Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="io_regionsize" type="int">
-          <ipxact:name>io_regionsize</ipxact:name>
-          <ipxact:displayName>Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_support31bitdcachebypass" type="bit">
-          <ipxact:name>setting_support31bitdcachebypass</ipxact:name>
-          <ipxact:displayName>Use most-significant address bit in processor to bypass data cache</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_activateTrace" type="bit">
-          <ipxact:name>setting_activateTrace</ipxact:name>
-          <ipxact:displayName>Generate trace file during RTL simulation</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_allow_break_inst" type="bit">
-          <ipxact:name>setting_allow_break_inst</ipxact:name>
-          <ipxact:displayName>Allow Break instructions</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_activateTestEndChecker" type="bit">
-          <ipxact:name>setting_activateTestEndChecker</ipxact:name>
-          <ipxact:displayName>Activate test end checker</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_ecc_sim_test_ports" type="bit">
-          <ipxact:name>setting_ecc_sim_test_ports</ipxact:name>
-          <ipxact:displayName>Enable ECC simulation test ports</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_disableocitrace" type="bit">
-          <ipxact:name>setting_disableocitrace</ipxact:name>
-          <ipxact:displayName>Disable comptr generation</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_activateMonitors" type="bit">
-          <ipxact:name>setting_activateMonitors</ipxact:name>
-          <ipxact:displayName>Activate monitors</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_HDLSimCachesCleared" type="bit">
-          <ipxact:name>setting_HDLSimCachesCleared</ipxact:name>
-          <ipxact:displayName>HDL simulation caches cleared</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_HBreakTest" type="bit">
-          <ipxact:name>setting_HBreakTest</ipxact:name>
-          <ipxact:displayName>Add HBreak Request port</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_breakslaveoveride" type="bit">
-          <ipxact:name>setting_breakslaveoveride</ipxact:name>
-          <ipxact:displayName>Manually assign break slave</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mpu_useLimit" type="bit">
-          <ipxact:name>mpu_useLimit</ipxact:name>
-          <ipxact:displayName>Use Limit for region range</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mpu_enabled" type="bit">
-          <ipxact:name>mpu_enabled</ipxact:name>
-          <ipxact:displayName>Include MPU</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_enabled" type="bit">
-          <ipxact:name>mmu_enabled</ipxact:name>
-          <ipxact:displayName>Include MMU</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_autoAssignTlbPtrSz" type="bit">
-          <ipxact:name>mmu_autoAssignTlbPtrSz</ipxact:name>
-          <ipxact:displayName>Optimize TLB entries base on device family</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="cpuReset" type="bit">
-          <ipxact:name>cpuReset</ipxact:name>
-          <ipxact:displayName>Include cpu_resetrequest and cpu_resettaken signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="resetrequest_enabled" type="bit">
-          <ipxact:name>resetrequest_enabled</ipxact:name>
-          <ipxact:displayName>Include reset_req signal for OCI RAM and Multi-Cycle Custom Instructions</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_removeRAMinit" type="bit">
-          <ipxact:name>setting_removeRAMinit</ipxact:name>
-          <ipxact:displayName>Remove RAM Initialization</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_tmr_output_disable" type="bit">
-          <ipxact:name>setting_tmr_output_disable</ipxact:name>
-          <ipxact:displayName>Create a signal to disable TMR outputs</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_shadowRegisterSets" type="int">
-          <ipxact:name>setting_shadowRegisterSets</ipxact:name>
-          <ipxact:displayName>Number of shadow register sets (0-63)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mpu_numOfInstRegion" type="int">
-          <ipxact:name>mpu_numOfInstRegion</ipxact:name>
-          <ipxact:displayName>        Number of instruction regions</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mpu_numOfDataRegion" type="int">
-          <ipxact:name>mpu_numOfDataRegion</ipxact:name>
-          <ipxact:displayName>        Number of data regions</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_TLBMissExcOffset" type="int">
-          <ipxact:name>mmu_TLBMissExcOffset</ipxact:name>
-          <ipxact:displayName>Fast TLB Miss Exception vector offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="resetOffset" type="int">
-          <ipxact:name>resetOffset</ipxact:name>
-          <ipxact:displayName>Reset vector offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="exceptionOffset" type="int">
-          <ipxact:name>exceptionOffset</ipxact:name>
-          <ipxact:displayName>Exception vector offset</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="cpuID" type="int">
-          <ipxact:name>cpuID</ipxact:name>
-          <ipxact:displayName>CPUID control register value</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="breakOffset" type="int">
-          <ipxact:name>breakOffset</ipxact:name>
-          <ipxact:displayName>Break vector offset</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="userDefinedSettings" type="string">
-          <ipxact:name>userDefinedSettings</ipxact:name>
-          <ipxact:displayName>User Defined Settings</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tracefilename" type="string">
-          <ipxact:name>tracefilename</ipxact:name>
-          <ipxact:displayName>Trace File Name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="resetSlave" type="string">
-          <ipxact:name>resetSlave</ipxact:name>
-          <ipxact:displayName>Reset vector memory</ipxact:displayName>
-          <ipxact:value>onchip_memory2_0.s1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_TLBMissExcSlave" type="string">
-          <ipxact:name>mmu_TLBMissExcSlave</ipxact:name>
-          <ipxact:displayName>Fast TLB Miss Exception vector memory</ipxact:displayName>
-          <ipxact:value>None</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="exceptionSlave" type="string">
-          <ipxact:name>exceptionSlave</ipxact:name>
-          <ipxact:displayName>Exception vector memory</ipxact:displayName>
-          <ipxact:value>onchip_memory2_0.s1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="breakSlave" type="string">
-          <ipxact:name>breakSlave</ipxact:name>
-          <ipxact:displayName>Break vector memory</ipxact:displayName>
-          <ipxact:value>None</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_interruptControllerType" type="string">
-          <ipxact:name>setting_interruptControllerType</ipxact:name>
-          <ipxact:displayName>Interrupt controller</ipxact:displayName>
-          <ipxact:value>Internal</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_branchpredictiontype" type="string">
-          <ipxact:name>setting_branchpredictiontype</ipxact:name>
-          <ipxact:displayName>Branch prediction type</ipxact:displayName>
-          <ipxact:value>Dynamic</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_bhtPtrSz" type="int">
-          <ipxact:name>setting_bhtPtrSz</ipxact:name>
-          <ipxact:displayName>        Number of entries (2-bits wide)</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="cpuArchRev" type="int">
-          <ipxact:name>cpuArchRev</ipxact:name>
-          <ipxact:displayName>Architecture Revision</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="stratix_dspblock_shift_mul" type="bit">
-          <ipxact:name>stratix_dspblock_shift_mul</ipxact:name>
-          <ipxact:displayName>stratix_dspblock_shift_mul</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="shifterType" type="string">
-          <ipxact:name>shifterType</ipxact:name>
-          <ipxact:displayName>shifterType</ipxact:displayName>
-          <ipxact:value>medium_le_shift</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="multiplierType" type="string">
-          <ipxact:name>multiplierType</ipxact:name>
-          <ipxact:displayName>multiplierType</ipxact:displayName>
-          <ipxact:value>no_mul</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mul_shift_choice" type="int">
-          <ipxact:name>mul_shift_choice</ipxact:name>
-          <ipxact:displayName>Multiply/Shift/Rotate Hardware</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mul_32_impl" type="int">
-          <ipxact:name>mul_32_impl</ipxact:name>
-          <ipxact:displayName>Multiply Implementation</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mul_64_impl" type="int">
-          <ipxact:name>mul_64_impl</ipxact:name>
-          <ipxact:displayName>Multiply Extended Implementation</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="shift_rot_impl" type="int">
-          <ipxact:name>shift_rot_impl</ipxact:name>
-          <ipxact:displayName>Shift/Rotate Implementation</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dividerType" type="string">
-          <ipxact:name>dividerType</ipxact:name>
-          <ipxact:displayName>Divide Hardware</ipxact:displayName>
-          <ipxact:value>no_div</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mpu_minInstRegionSize" type="int">
-          <ipxact:name>mpu_minInstRegionSize</ipxact:name>
-          <ipxact:displayName>        Minimum instruction region size</ipxact:displayName>
-          <ipxact:value>12</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mpu_minDataRegionSize" type="int">
-          <ipxact:name>mpu_minDataRegionSize</ipxact:name>
-          <ipxact:displayName>        Minimum data region size</ipxact:displayName>
-          <ipxact:value>12</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_uitlbNumEntries" type="int">
-          <ipxact:name>mmu_uitlbNumEntries</ipxact:name>
-          <ipxact:displayName>        Micro ITLB entries</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_udtlbNumEntries" type="int">
-          <ipxact:name>mmu_udtlbNumEntries</ipxact:name>
-          <ipxact:displayName>        Micro DTLB entries</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_tlbPtrSz" type="int">
-          <ipxact:name>mmu_tlbPtrSz</ipxact:name>
-          <ipxact:displayName>        TLB entries</ipxact:displayName>
-          <ipxact:value>7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_tlbNumWays" type="int">
-          <ipxact:name>mmu_tlbNumWays</ipxact:name>
-          <ipxact:displayName>        TLB Set-Associativity</ipxact:displayName>
-          <ipxact:value>16</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_processIDNumBits" type="int">
-          <ipxact:name>mmu_processIDNumBits</ipxact:name>
-          <ipxact:displayName>        Process ID (PID) bits</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="impl" type="string">
-          <ipxact:name>impl</ipxact:name>
-          <ipxact:displayName>Nios II Core</ipxact:displayName>
-          <ipxact:value>Tiny</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="icache_size" type="int">
-          <ipxact:name>icache_size</ipxact:name>
-          <ipxact:displayName>Size</ipxact:displayName>
-          <ipxact:value>4096</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="fa_cache_line" type="int">
-          <ipxact:name>fa_cache_line</ipxact:name>
-          <ipxact:displayName>Number of Cache Lines</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="fa_cache_linesize" type="int">
-          <ipxact:name>fa_cache_linesize</ipxact:name>
-          <ipxact:displayName>Line Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="icache_tagramBlockType" type="string">
-          <ipxact:name>icache_tagramBlockType</ipxact:name>
-          <ipxact:displayName>Tag RAM block type</ipxact:displayName>
-          <ipxact:value>Automatic</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="icache_ramBlockType" type="string">
-          <ipxact:name>icache_ramBlockType</ipxact:name>
-          <ipxact:displayName>Data RAM block type</ipxact:displayName>
-          <ipxact:value>Automatic</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="icache_numTCIM" type="int">
-          <ipxact:name>icache_numTCIM</ipxact:name>
-          <ipxact:displayName>Number of tightly coupled instruction master ports</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="icache_burstType" type="string">
-          <ipxact:name>icache_burstType</ipxact:name>
-          <ipxact:displayName>Add burstcount signal to instruction_master</ipxact:displayName>
-          <ipxact:value>None</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dcache_bursts" type="string">
-          <ipxact:name>dcache_bursts</ipxact:name>
-          <ipxact:displayName>Add burstcount signal to data_master</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dcache_victim_buf_impl" type="string">
-          <ipxact:name>dcache_victim_buf_impl</ipxact:name>
-          <ipxact:displayName>Victim buffer implementation</ipxact:displayName>
-          <ipxact:value>ram</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dcache_size" type="int">
-          <ipxact:name>dcache_size</ipxact:name>
-          <ipxact:displayName>Size</ipxact:displayName>
-          <ipxact:value>2048</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dcache_tagramBlockType" type="string">
-          <ipxact:name>dcache_tagramBlockType</ipxact:name>
-          <ipxact:displayName>Tag RAM block type</ipxact:displayName>
-          <ipxact:value>Automatic</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dcache_ramBlockType" type="string">
-          <ipxact:name>dcache_ramBlockType</ipxact:name>
-          <ipxact:displayName>Data RAM block type</ipxact:displayName>
-          <ipxact:value>Automatic</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dcache_numTCDM" type="int">
-          <ipxact:name>dcache_numTCDM</ipxact:name>
-          <ipxact:displayName>Number of tightly coupled data master ports</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_exportvectors" type="bit">
-          <ipxact:name>setting_exportvectors</ipxact:name>
-          <ipxact:displayName>Export Vectors</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_usedesignware" type="bit">
-          <ipxact:name>setting_usedesignware</ipxact:name>
-          <ipxact:displayName>Use Designware Components</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_ecc_present" type="bit">
-          <ipxact:name>setting_ecc_present</ipxact:name>
-          <ipxact:displayName>ECC Present</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_ic_ecc_present" type="bit">
-          <ipxact:name>setting_ic_ecc_present</ipxact:name>
-          <ipxact:displayName>Instruction Cache ECC Present</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_rf_ecc_present" type="bit">
-          <ipxact:name>setting_rf_ecc_present</ipxact:name>
-          <ipxact:displayName>Register File ECC Present</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_mmu_ecc_present" type="bit">
-          <ipxact:name>setting_mmu_ecc_present</ipxact:name>
-          <ipxact:displayName>MMU ECC Present</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_dc_ecc_present" type="bit">
-          <ipxact:name>setting_dc_ecc_present</ipxact:name>
-          <ipxact:displayName>Data Cache ECC Present</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_itcm_ecc_present" type="bit">
-          <ipxact:name>setting_itcm_ecc_present</ipxact:name>
-          <ipxact:displayName>Instruction TCM ECC Present</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_dtcm_ecc_present" type="bit">
-          <ipxact:name>setting_dtcm_ecc_present</ipxact:name>
-          <ipxact:displayName>Data TCM ECC Present</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="regfile_ramBlockType" type="string">
-          <ipxact:name>regfile_ramBlockType</ipxact:name>
-          <ipxact:displayName>RAM block type</ipxact:displayName>
-          <ipxact:value>Automatic</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ocimem_ramBlockType" type="string">
-          <ipxact:name>ocimem_ramBlockType</ipxact:name>
-          <ipxact:displayName>RAM block type</ipxact:displayName>
-          <ipxact:value>Automatic</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ocimem_ramInit" type="bit">
-          <ipxact:name>ocimem_ramInit</ipxact:name>
-          <ipxact:displayName>Initialized OCI RAM</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_ramBlockType" type="string">
-          <ipxact:name>mmu_ramBlockType</ipxact:name>
-          <ipxact:displayName>        MMU RAM block type</ipxact:displayName>
-          <ipxact:value>Automatic</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bht_ramBlockType" type="string">
-          <ipxact:name>bht_ramBlockType</ipxact:name>
-          <ipxact:displayName>BHT RAM Block Type</ipxact:displayName>
-          <ipxact:value>Automatic</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="cdx_enabled" type="bit">
-          <ipxact:name>cdx_enabled</ipxact:name>
-          <ipxact:displayName>CDX (Code Density eXtension) Instructions</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mpx_enabled" type="bit">
-          <ipxact:name>mpx_enabled</ipxact:name>
-          <ipxact:displayName>mpx_enabled</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_enabled" type="bit">
-          <ipxact:name>debug_enabled</ipxact:name>
-          <ipxact:displayName>Include JTAG Debug</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_triggerArming" type="bit">
-          <ipxact:name>debug_triggerArming</ipxact:name>
-          <ipxact:displayName>Trigger Arming</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_debugReqSignals" type="bit">
-          <ipxact:name>debug_debugReqSignals</ipxact:name>
-          <ipxact:displayName>Include debugreq and debugack Signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_assignJtagInstanceID" type="bit">
-          <ipxact:name>debug_assignJtagInstanceID</ipxact:name>
-          <ipxact:displayName>Assign JTAG Instance ID for debug core manually</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_jtagInstanceID" type="int">
-          <ipxact:name>debug_jtagInstanceID</ipxact:name>
-          <ipxact:displayName>JTAG Instance ID value</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_OCIOnchipTrace" type="string">
-          <ipxact:name>debug_OCIOnchipTrace</ipxact:name>
-          <ipxact:displayName>Onchip Trace Frame Size</ipxact:displayName>
-          <ipxact:value>_128</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_hwbreakpoint" type="int">
-          <ipxact:name>debug_hwbreakpoint</ipxact:name>
-          <ipxact:displayName>Hardware Breakpoints</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_datatrigger" type="int">
-          <ipxact:name>debug_datatrigger</ipxact:name>
-          <ipxact:displayName>Data Triggers</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_traceType" type="string">
-          <ipxact:name>debug_traceType</ipxact:name>
-          <ipxact:displayName>Trace Types</ipxact:displayName>
-          <ipxact:value>none</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_traceStorage" type="string">
-          <ipxact:name>debug_traceStorage</ipxact:name>
-          <ipxact:displayName>Trace Storage</ipxact:displayName>
-          <ipxact:value>onchip_trace</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="master_addr_map" type="bit">
-          <ipxact:name>master_addr_map</ipxact:name>
-          <ipxact:displayName>Manually Set Master Base Address and Size</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="instruction_master_paddr_base" type="int">
-          <ipxact:name>instruction_master_paddr_base</ipxact:name>
-          <ipxact:displayName>Instruction Master Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="instruction_master_paddr_size" type="longint">
-          <ipxact:name>instruction_master_paddr_size</ipxact:name>
-          <ipxact:displayName>Instruction Master Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="flash_instruction_master_paddr_base" type="int">
-          <ipxact:name>flash_instruction_master_paddr_base</ipxact:name>
-          <ipxact:displayName>Flash Instruction Master Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="flash_instruction_master_paddr_size" type="longint">
-          <ipxact:name>flash_instruction_master_paddr_size</ipxact:name>
-          <ipxact:displayName>Flash Instruction Master Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="data_master_paddr_base" type="int">
-          <ipxact:name>data_master_paddr_base</ipxact:name>
-          <ipxact:displayName>Data Master Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="data_master_paddr_size" type="longint">
-          <ipxact:name>data_master_paddr_size</ipxact:name>
-          <ipxact:displayName>Data Master Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_instruction_master_0_paddr_base" type="int">
-          <ipxact:name>tightly_coupled_instruction_master_0_paddr_base</ipxact:name>
-          <ipxact:displayName>Tightly coupled Instruction Master 0 Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_instruction_master_0_paddr_size" type="longint">
-          <ipxact:name>tightly_coupled_instruction_master_0_paddr_size</ipxact:name>
-          <ipxact:displayName>Tightly coupled Instruction Master 0 Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_instruction_master_1_paddr_base" type="int">
-          <ipxact:name>tightly_coupled_instruction_master_1_paddr_base</ipxact:name>
-          <ipxact:displayName>Tightly coupled Instruction Master 1 Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_instruction_master_1_paddr_size" type="longint">
-          <ipxact:name>tightly_coupled_instruction_master_1_paddr_size</ipxact:name>
-          <ipxact:displayName>Tightly coupled Instruction Master 1 Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_instruction_master_2_paddr_base" type="int">
-          <ipxact:name>tightly_coupled_instruction_master_2_paddr_base</ipxact:name>
-          <ipxact:displayName>Tightly coupled Instruction Master 2 Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_instruction_master_2_paddr_size" type="longint">
-          <ipxact:name>tightly_coupled_instruction_master_2_paddr_size</ipxact:name>
-          <ipxact:displayName>Tightly coupled Instruction Master 2 Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_instruction_master_3_paddr_base" type="int">
-          <ipxact:name>tightly_coupled_instruction_master_3_paddr_base</ipxact:name>
-          <ipxact:displayName>Tightly coupled Instruction Master 3 Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_instruction_master_3_paddr_size" type="longint">
-          <ipxact:name>tightly_coupled_instruction_master_3_paddr_size</ipxact:name>
-          <ipxact:displayName>Tightly coupled Instruction Master 3 Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_data_master_0_paddr_base" type="int">
-          <ipxact:name>tightly_coupled_data_master_0_paddr_base</ipxact:name>
-          <ipxact:displayName>Tightly coupled Data Master 0 Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_data_master_0_paddr_size" type="longint">
-          <ipxact:name>tightly_coupled_data_master_0_paddr_size</ipxact:name>
-          <ipxact:displayName>Tightly coupled Data Master 0 Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_data_master_1_paddr_base" type="int">
-          <ipxact:name>tightly_coupled_data_master_1_paddr_base</ipxact:name>
-          <ipxact:displayName>Tightly coupled Data Master 1 Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_data_master_1_paddr_size" type="longint">
-          <ipxact:name>tightly_coupled_data_master_1_paddr_size</ipxact:name>
-          <ipxact:displayName>Tightly coupled Data Master 1 Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_data_master_2_paddr_base" type="int">
-          <ipxact:name>tightly_coupled_data_master_2_paddr_base</ipxact:name>
-          <ipxact:displayName>Tightly coupled Data Master 2 Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_data_master_2_paddr_size" type="longint">
-          <ipxact:name>tightly_coupled_data_master_2_paddr_size</ipxact:name>
-          <ipxact:displayName>Tightly coupled Data Master 2 Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_data_master_3_paddr_base" type="int">
-          <ipxact:name>tightly_coupled_data_master_3_paddr_base</ipxact:name>
-          <ipxact:displayName>Tightly coupled Data Master 3 Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightly_coupled_data_master_3_paddr_size" type="longint">
-          <ipxact:name>tightly_coupled_data_master_3_paddr_size</ipxact:name>
-          <ipxact:displayName>Tightly coupled Data Master 3 Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="instruction_master_high_performance_paddr_base" type="int">
-          <ipxact:name>instruction_master_high_performance_paddr_base</ipxact:name>
-          <ipxact:displayName>Instruction Master High Performance Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="instruction_master_high_performance_paddr_size" type="longint">
-          <ipxact:name>instruction_master_high_performance_paddr_size</ipxact:name>
-          <ipxact:displayName>Instruction Master High Performance Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="data_master_high_performance_paddr_base" type="int">
-          <ipxact:name>data_master_high_performance_paddr_base</ipxact:name>
-          <ipxact:displayName>Data Master High Performance Base Address</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="data_master_high_performance_paddr_size" type="longint">
-          <ipxact:name>data_master_high_performance_paddr_size</ipxact:name>
-          <ipxact:displayName>Data Master High Performance Size</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="resetAbsoluteAddr" type="int">
-          <ipxact:name>resetAbsoluteAddr</ipxact:name>
-          <ipxact:displayName>Reset vector</ipxact:displayName>
-          <ipxact:value>131072</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="exceptionAbsoluteAddr" type="int">
-          <ipxact:name>exceptionAbsoluteAddr</ipxact:name>
-          <ipxact:displayName>Exception vector</ipxact:displayName>
-          <ipxact:value>131104</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="breakAbsoluteAddr" type="int">
-          <ipxact:name>breakAbsoluteAddr</ipxact:name>
-          <ipxact:displayName>Break vector</ipxact:displayName>
-          <ipxact:value>14368</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mmu_TLBMissExcAbsAddr" type="int">
-          <ipxact:name>mmu_TLBMissExcAbsAddr</ipxact:name>
-          <ipxact:displayName>Fast TLB Miss Exception vector</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dcache_bursts_derived" type="string">
-          <ipxact:name>dcache_bursts_derived</ipxact:name>
-          <ipxact:displayName>dcache_bursts_derived</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dcache_size_derived" type="int">
-          <ipxact:name>dcache_size_derived</ipxact:name>
-          <ipxact:displayName>dcache_size_derived</ipxact:displayName>
-          <ipxact:value>2048</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="breakSlave_derived" type="string">
-          <ipxact:name>breakSlave_derived</ipxact:name>
-          <ipxact:displayName>breakSlave_derived</ipxact:displayName>
-          <ipxact:value>cpu_0.debug_mem_slave</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dcache_lineSize_derived" type="int">
-          <ipxact:name>dcache_lineSize_derived</ipxact:name>
-          <ipxact:displayName>dcache_lineSize_derived</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_ioregionBypassDCache" type="bit">
-          <ipxact:name>setting_ioregionBypassDCache</ipxact:name>
-          <ipxact:displayName>setting_ioregionBypassDCache</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setting_bit31BypassDCache" type="bit">
-          <ipxact:name>setting_bit31BypassDCache</ipxact:name>
-          <ipxact:displayName>setting_bit31BypassDCache</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="translate_on" type="string">
-          <ipxact:name>translate_on</ipxact:name>
-          <ipxact:displayName>translate_on</ipxact:displayName>
-          <ipxact:value> "synthesis translate_on"  </ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="translate_off" type="string">
-          <ipxact:name>translate_off</ipxact:name>
-          <ipxact:displayName>translate_off</ipxact:displayName>
-          <ipxact:value> "synthesis translate_off" </ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_onchiptrace" type="bit">
-          <ipxact:name>debug_onchiptrace</ipxact:name>
-          <ipxact:displayName>debug_onchiptrace</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_offchiptrace" type="bit">
-          <ipxact:name>debug_offchiptrace</ipxact:name>
-          <ipxact:displayName>debug_offchiptrace</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_insttrace" type="bit">
-          <ipxact:name>debug_insttrace</ipxact:name>
-          <ipxact:displayName>debug_insttrace</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="debug_datatrace" type="bit">
-          <ipxact:name>debug_datatrace</ipxact:name>
-          <ipxact:displayName>debug_datatrace</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="instAddrWidth" type="int">
-          <ipxact:name>instAddrWidth</ipxact:name>
-          <ipxact:displayName>instAddrWidth</ipxact:displayName>
-          <ipxact:value>18</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="faAddrWidth" type="int">
-          <ipxact:name>faAddrWidth</ipxact:name>
-          <ipxact:displayName>faAddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dataAddrWidth" type="int">
-          <ipxact:name>dataAddrWidth</ipxact:name>
-          <ipxact:displayName>dataAddrWidth</ipxact:displayName>
-          <ipxact:value>24</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledDataMaster0AddrWidth" type="int">
-          <ipxact:name>tightlyCoupledDataMaster0AddrWidth</ipxact:name>
-          <ipxact:displayName>tightlyCoupledDataMaster0AddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledDataMaster1AddrWidth" type="int">
-          <ipxact:name>tightlyCoupledDataMaster1AddrWidth</ipxact:name>
-          <ipxact:displayName>tightlyCoupledDataMaster1AddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledDataMaster2AddrWidth" type="int">
-          <ipxact:name>tightlyCoupledDataMaster2AddrWidth</ipxact:name>
-          <ipxact:displayName>tightlyCoupledDataMaster2AddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledDataMaster3AddrWidth" type="int">
-          <ipxact:name>tightlyCoupledDataMaster3AddrWidth</ipxact:name>
-          <ipxact:displayName>tightlyCoupledDataMaster3AddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledInstructionMaster0AddrWidth" type="int">
-          <ipxact:name>tightlyCoupledInstructionMaster0AddrWidth</ipxact:name>
-          <ipxact:displayName>tightlyCoupledInstructionMaster0AddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledInstructionMaster1AddrWidth" type="int">
-          <ipxact:name>tightlyCoupledInstructionMaster1AddrWidth</ipxact:name>
-          <ipxact:displayName>tightlyCoupledInstructionMaster1AddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledInstructionMaster2AddrWidth" type="int">
-          <ipxact:name>tightlyCoupledInstructionMaster2AddrWidth</ipxact:name>
-          <ipxact:displayName>tightlyCoupledInstructionMaster2AddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledInstructionMaster3AddrWidth" type="int">
-          <ipxact:name>tightlyCoupledInstructionMaster3AddrWidth</ipxact:name>
-          <ipxact:displayName>tightlyCoupledInstructionMaster3AddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dataMasterHighPerformanceAddrWidth" type="int">
-          <ipxact:name>dataMasterHighPerformanceAddrWidth</ipxact:name>
-          <ipxact:displayName>dataMasterHighPerformanceAddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="instructionMasterHighPerformanceAddrWidth" type="int">
-          <ipxact:name>instructionMasterHighPerformanceAddrWidth</ipxact:name>
-          <ipxact:displayName>instructionMasterHighPerformanceAddrWidth</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="instSlaveMapParam" type="string">
-          <ipxact:name>instSlaveMapParam</ipxact:name>
-          <ipxact:displayName>instSlaveMapParam</ipxact:displayName>
-          <ipxact:value>&lt;address-map&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="faSlaveMapParam" type="string">
-          <ipxact:name>faSlaveMapParam</ipxact:name>
-          <ipxact:displayName>faSlaveMapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dataSlaveMapParam" type="string">
-          <ipxact:name>dataSlaveMapParam</ipxact:name>
-          <ipxact:displayName>dataSlaveMapParam</ipxact:displayName>
-          <ipxact:value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x300' end='0x320' datawidth='16' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x320' end='0x340' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x340' end='0x360' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x360' end='0x380' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x380' end='0x390' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x390' end='0x398' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x398' end='0x3A0' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x3B0' end='0x3B8' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x3B8' end='0x3C0' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x4000' end='0x5000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x40000' end='0x44000' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x48000' end='0x48400' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x4C000' end='0x4C100' datawidth='32' /&gt;&lt;slave name='reg_bsn_source.mem' start='0x4C100' end='0x4C110' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x4C110' end='0x4C118' datawidth='32' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x4C120' end='0x4C140' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0x50000' end='0x60000' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_jesd.mem' start='0x60000' end='0x64000' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x64000' end='0x68000' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x68000' end='0x68100' datawidth='32' /&gt;&lt;slave name='ram_aduh_monitor.mem' start='0x70000' end='0x74000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_jesd.mem' start='0x80000' end='0xC0000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledDataMaster0MapParam" type="string">
-          <ipxact:name>tightlyCoupledDataMaster0MapParam</ipxact:name>
-          <ipxact:displayName>tightlyCoupledDataMaster0MapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledDataMaster1MapParam" type="string">
-          <ipxact:name>tightlyCoupledDataMaster1MapParam</ipxact:name>
-          <ipxact:displayName>tightlyCoupledDataMaster1MapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledDataMaster2MapParam" type="string">
-          <ipxact:name>tightlyCoupledDataMaster2MapParam</ipxact:name>
-          <ipxact:displayName>tightlyCoupledDataMaster2MapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledDataMaster3MapParam" type="string">
-          <ipxact:name>tightlyCoupledDataMaster3MapParam</ipxact:name>
-          <ipxact:displayName>tightlyCoupledDataMaster3MapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledInstructionMaster0MapParam" type="string">
-          <ipxact:name>tightlyCoupledInstructionMaster0MapParam</ipxact:name>
-          <ipxact:displayName>tightlyCoupledInstructionMaster0MapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledInstructionMaster1MapParam" type="string">
-          <ipxact:name>tightlyCoupledInstructionMaster1MapParam</ipxact:name>
-          <ipxact:displayName>tightlyCoupledInstructionMaster1MapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledInstructionMaster2MapParam" type="string">
-          <ipxact:name>tightlyCoupledInstructionMaster2MapParam</ipxact:name>
-          <ipxact:displayName>tightlyCoupledInstructionMaster2MapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="tightlyCoupledInstructionMaster3MapParam" type="string">
-          <ipxact:name>tightlyCoupledInstructionMaster3MapParam</ipxact:name>
-          <ipxact:displayName>tightlyCoupledInstructionMaster3MapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dataMasterHighPerformanceMapParam" type="string">
-          <ipxact:name>dataMasterHighPerformanceMapParam</ipxact:name>
-          <ipxact:displayName>dataMasterHighPerformanceMapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="instructionMasterHighPerformanceMapParam" type="string">
-          <ipxact:name>instructionMasterHighPerformanceMapParam</ipxact:name>
-          <ipxact:displayName>instructionMasterHighPerformanceMapParam</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clockFrequency" type="longint">
-          <ipxact:name>clockFrequency</ipxact:name>
-          <ipxact:displayName>clockFrequency</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamilyName" type="string">
-          <ipxact:name>deviceFamilyName</ipxact:name>
-          <ipxact:displayName>deviceFamilyName</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="internalIrqMaskSystemInfo" type="longint">
-          <ipxact:name>internalIrqMaskSystemInfo</ipxact:name>
-          <ipxact:displayName>internalIrqMaskSystemInfo</ipxact:displayName>
-          <ipxact:value>7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="customInstSlavesSystemInfo" type="string">
-          <ipxact:name>customInstSlavesSystemInfo</ipxact:name>
-          <ipxact:displayName>customInstSlavesSystemInfo</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="customInstSlavesSystemInfo_nios_a" type="string">
-          <ipxact:name>customInstSlavesSystemInfo_nios_a</ipxact:name>
-          <ipxact:displayName>customInstSlavesSystemInfo_nios_a</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="customInstSlavesSystemInfo_nios_b" type="string">
-          <ipxact:name>customInstSlavesSystemInfo_nios_b</ipxact:name>
-          <ipxact:displayName>customInstSlavesSystemInfo_nios_b</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="customInstSlavesSystemInfo_nios_c" type="string">
-          <ipxact:name>customInstSlavesSystemInfo_nios_c</ipxact:name>
-          <ipxact:displayName>customInstSlavesSystemInfo_nios_c</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFeaturesSystemInfo" type="string">
-          <ipxact:name>deviceFeaturesSystemInfo</ipxact:name>
-          <ipxact:displayName>deviceFeaturesSystemInfo</ipxact:displayName>
-          <ipxact:value>ADDRESS_STALL 0 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ALLOW_DIFF_SUFFIX_MIGRATION 0 ASSERT_TIMING_ROUTING_DELAYS_HAS_ALL_EXPECTED_DATA 0 ASSERT_TIMING_ROUTING_DELAYS_NO_AUTOFILL 0 BLACKLISTS_HIERARCHIES 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DOES_NOT_SUPPORT_TIMING_MODELS_FOR_ROUTING_WIRES_WITH_ONLY_REDUNDANT_FANOUTS 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_HIGH_SPEED_HSSI 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 0 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FM_REVB 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 0 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BCM_PIN_BASED_AIOT_SUPPORT 0 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CROSS_FEATURE_VERTICAL_MIGRATION_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 0 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIER_PARTIAL_RECONFIG_SUPPORT 1 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_BLOCK 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 1 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LAB_LATCHES 0 HAS_LEIM_RES_MERGED_IN_RR_GRAPH 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QHD_INCREMENTAL_TIMING_CLOSURE_SUPPORT 1 HAS_QHD_IP_REUSE_INTEGRATION_SUPPORT 1 HAS_QHD_PARTITIONS_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_REVC_IO 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMPLIFIED_PARTIAL_RECONFIG_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SIP_TILE_SUPPORT 0 HAS_SPEED_GRADE_OFFSET 1 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 1 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 1 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_STATIC_PART 0 INTERNAL_USE_ONLY 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_DQS_IN_BUFFER_REDUCTION 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_JW_NEW_BINNING_PLAN 0 IS_JZ_NEW_BINNING_PLAN 0 IS_MCP_DEVICE 0 IS_REVE_SILICON 0 IS_SDM_LITE 0 IS_UDM_BASED 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LUTRAM_DATA_IN_FF_MUST_BE_HIPI 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 1 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NOT_SUPPORTED_BY_QPA 0 NO_CLOCK_REGION 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PCF 0 NO_PIN_OUT 0 NO_POF 0 NO_ROUTING 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PRE_ND5_L_FINALITY 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 QPA_SUPPORTS_VID_CALC 0 QPA_USES_PAN2 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 0 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 0 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_ADVANCED_SECURITY 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_PW0 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 1 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_MIN_CORNER_DMF_GENERATION 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_PSEUDO_LATCHES_ONLY 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 0 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_TIMING_CLOSURE_CORNERS 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HBM_IN_EPE 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORT_MULTIPLE_PAD_PER_PIN 0 SUPPORT_UIB 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 U2B2_SUPPORT_NOT_READY 0 USES_ACV_FOR_FLED 0 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 0 USES_DETAILED_REDTAX_WITH_DSPF_ROUTING_MODELS 0 USES_DEV 1 USES_DSPF_ROUTING_MODELS 0 USES_ESTIMATED_TIMING 0 USES_EXTRACTION_CORNERS_WITH_DSPF_ROUTING_MODELS 0 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_ANNOTATION_FOR_LAB_OUTPUTS 0 USES_LIBERTY_ANNOTATION_FOR_M20K_DSP_OUTPUTS 0 USES_LIBERTY_TIMING 0 USES_MULTIPLE_VID_VOLTAGES 1 USES_PARASITIC_LOADS_WITH_DSPF_ROUTING_MODELS 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_TIMING_ROUTING_DELAYS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 0 USE_ADVANCED_IO_TIMING_BY_DEFAULT 0 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SDM_CONFIGURATION 0 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 0 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_DEVICE" type="string">
-          <ipxact:name>AUTO_DEVICE</ipxact:name>
-          <ipxact:displayName>Auto DEVICE</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_DEVICE_SPEEDGRADE" type="string">
-          <ipxact:name>AUTO_DEVICE_SPEEDGRADE</ipxact:name>
-          <ipxact:displayName>Auto DEVICE_SPEEDGRADE</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_CLK_CLOCK_DOMAIN" type="longint">
-          <ipxact:name>AUTO_CLK_CLOCK_DOMAIN</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_DOMAIN</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_CLK_RESET_DOMAIN" type="longint">
-          <ipxact:name>AUTO_CLK_RESET_DOMAIN</ipxact:name>
-          <ipxact:displayName>Auto RESET_DOMAIN</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>tmr_enabled</spirit:name>
+          <spirit:displayName>Nios II Triple Mode Redundancy</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="tmr_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_disable_tmr_inj</spirit:name>
+          <spirit:displayName>Disabled TMR Error Injection Port</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_disable_tmr_inj">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_showUnpublishedSettings</spirit:name>
+          <spirit:displayName>Show Unpublished Settings</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_showUnpublishedSettings">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_showInternalSettings</spirit:name>
+          <spirit:displayName>Show Internal Verification Settings</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_showInternalSettings">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_preciseIllegalMemAccessException</spirit:name>
+          <spirit:displayName>Misaligned memory access</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_preciseIllegalMemAccessException">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportPCB</spirit:name>
+          <spirit:displayName>setting_exportPCB</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportPCB">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportdebuginfo</spirit:name>
+          <spirit:displayName>Export Instruction Execution States</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportdebuginfo">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_clearXBitsLDNonBypass</spirit:name>
+          <spirit:displayName>Clear X data bits</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_clearXBitsLDNonBypass">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_bigEndian</spirit:name>
+          <spirit:displayName>setting_bigEndian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_bigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_export_large_RAMs</spirit:name>
+          <spirit:displayName>Export Large RAMs</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_export_large_RAMs">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_enabled</spirit:name>
+          <spirit:displayName>ASIC enabled</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>register_file_por</spirit:name>
+          <spirit:displayName>Register File POR</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="register_file_por">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_synopsys_translate_on_off</spirit:name>
+          <spirit:displayName>ASIC Synopsys translate</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_synopsys_translate_on_off">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_third_party_synthesis</spirit:name>
+          <spirit:displayName>ASIC third party synthesis</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_third_party_synthesis">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_add_scan_mode_input</spirit:name>
+          <spirit:displayName>ASIC add scan mode input</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_add_scan_mode_input">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_oci_version</spirit:name>
+          <spirit:displayName>Nios II OCI Version</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setting_oci_version">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_fast_register_read</spirit:name>
+          <spirit:displayName>Fast Register Read</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_fast_register_read">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportHostDebugPort</spirit:name>
+          <spirit:displayName>Export Debug Host Slave</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportHostDebugPort">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_oci_export_jtag_signals</spirit:name>
+          <spirit:displayName>Export JTAG signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_oci_export_jtag_signals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_avalonDebugPortPresent</spirit:name>
+          <spirit:displayName>Avalon Debug Port Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_avalonDebugPortPresent">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_alwaysEncrypt</spirit:name>
+          <spirit:displayName>Always encrypt</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_alwaysEncrypt">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>io_regionbase</spirit:name>
+          <spirit:displayName>Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="io_regionbase">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>io_regionsize</spirit:name>
+          <spirit:displayName>Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="io_regionsize">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_support31bitdcachebypass</spirit:name>
+          <spirit:displayName>Use most-significant address bit in processor to bypass data cache</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_support31bitdcachebypass">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_activateTrace</spirit:name>
+          <spirit:displayName>Generate trace file during RTL simulation</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_activateTrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_allow_break_inst</spirit:name>
+          <spirit:displayName>Allow Break instructions</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_allow_break_inst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_activateTestEndChecker</spirit:name>
+          <spirit:displayName>Activate test end checker</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_activateTestEndChecker">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ecc_sim_test_ports</spirit:name>
+          <spirit:displayName>Enable ECC simulation test ports</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ecc_sim_test_ports">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_disableocitrace</spirit:name>
+          <spirit:displayName>Disable comptr generation</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_disableocitrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_activateMonitors</spirit:name>
+          <spirit:displayName>Activate monitors</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_activateMonitors">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_HDLSimCachesCleared</spirit:name>
+          <spirit:displayName>HDL simulation caches cleared</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_HDLSimCachesCleared">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_HBreakTest</spirit:name>
+          <spirit:displayName>Add HBreak Request port</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_HBreakTest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_breakslaveoveride</spirit:name>
+          <spirit:displayName>Manually assign break slave</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_breakslaveoveride">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_useLimit</spirit:name>
+          <spirit:displayName>Use Limit for region range</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mpu_useLimit">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_enabled</spirit:name>
+          <spirit:displayName>Include MPU</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mpu_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_enabled</spirit:name>
+          <spirit:displayName>Include MMU</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mmu_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_autoAssignTlbPtrSz</spirit:name>
+          <spirit:displayName>Optimize TLB entries base on device family</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mmu_autoAssignTlbPtrSz">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cpuReset</spirit:name>
+          <spirit:displayName>Include cpu_resetrequest and cpu_resettaken signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="cpuReset">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetrequest_enabled</spirit:name>
+          <spirit:displayName>Include reset_req signal for OCI RAM and Multi-Cycle Custom Instructions</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="resetrequest_enabled">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_removeRAMinit</spirit:name>
+          <spirit:displayName>Remove RAM Initialization</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_removeRAMinit">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_tmr_output_disable</spirit:name>
+          <spirit:displayName>Create a signal to disable TMR outputs</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_tmr_output_disable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_shadowRegisterSets</spirit:name>
+          <spirit:displayName>Number of shadow register sets (0-63)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setting_shadowRegisterSets">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_numOfInstRegion</spirit:name>
+          <spirit:displayName>        Number of instruction regions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_numOfInstRegion">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_numOfDataRegion</spirit:name>
+          <spirit:displayName>        Number of data regions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_numOfDataRegion">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_TLBMissExcOffset</spirit:name>
+          <spirit:displayName>Fast TLB Miss Exception vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_TLBMissExcOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetOffset</spirit:name>
+          <spirit:displayName>Reset vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="resetOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>exceptionOffset</spirit:name>
+          <spirit:displayName>Exception vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="exceptionOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cpuID</spirit:name>
+          <spirit:displayName>CPUID control register value</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="cpuID">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakOffset</spirit:name>
+          <spirit:displayName>Break vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="breakOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>userDefinedSettings</spirit:name>
+          <spirit:displayName>User Defined Settings</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="userDefinedSettings"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tracefilename</spirit:name>
+          <spirit:displayName>Trace File Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tracefilename"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetSlave</spirit:name>
+          <spirit:displayName>Reset vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="resetSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_TLBMissExcSlave</spirit:name>
+          <spirit:displayName>Fast TLB Miss Exception vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="mmu_TLBMissExcSlave">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>exceptionSlave</spirit:name>
+          <spirit:displayName>Exception vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="exceptionSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakSlave</spirit:name>
+          <spirit:displayName>Break vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="breakSlave">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_interruptControllerType</spirit:name>
+          <spirit:displayName>Interrupt controller</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="setting_interruptControllerType">Internal</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_branchpredictiontype</spirit:name>
+          <spirit:displayName>Branch prediction type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="setting_branchpredictiontype">Dynamic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_bhtPtrSz</spirit:name>
+          <spirit:displayName>        Number of entries (2-bits wide)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setting_bhtPtrSz">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cpuArchRev</spirit:name>
+          <spirit:displayName>Architecture Revision</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="cpuArchRev">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>stratix_dspblock_shift_mul</spirit:name>
+          <spirit:displayName>stratix_dspblock_shift_mul</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="stratix_dspblock_shift_mul">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>shifterType</spirit:name>
+          <spirit:displayName>shifterType</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="shifterType">medium_le_shift</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>multiplierType</spirit:name>
+          <spirit:displayName>multiplierType</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="multiplierType">no_mul</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mul_shift_choice</spirit:name>
+          <spirit:displayName>Multiply/Shift/Rotate Hardware</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mul_shift_choice">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mul_32_impl</spirit:name>
+          <spirit:displayName>Multiply Implementation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mul_32_impl">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mul_64_impl</spirit:name>
+          <spirit:displayName>Multiply Extended Implementation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mul_64_impl">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>shift_rot_impl</spirit:name>
+          <spirit:displayName>Shift/Rotate Implementation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="shift_rot_impl">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dividerType</spirit:name>
+          <spirit:displayName>Divide Hardware</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dividerType">no_div</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_minInstRegionSize</spirit:name>
+          <spirit:displayName>        Minimum instruction region size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_minInstRegionSize">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_minDataRegionSize</spirit:name>
+          <spirit:displayName>        Minimum data region size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_minDataRegionSize">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_uitlbNumEntries</spirit:name>
+          <spirit:displayName>        Micro ITLB entries</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_uitlbNumEntries">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_udtlbNumEntries</spirit:name>
+          <spirit:displayName>        Micro DTLB entries</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_udtlbNumEntries">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_tlbPtrSz</spirit:name>
+          <spirit:displayName>        TLB entries</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_tlbPtrSz">7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_tlbNumWays</spirit:name>
+          <spirit:displayName>        TLB Set-Associativity</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_tlbNumWays">16</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_processIDNumBits</spirit:name>
+          <spirit:displayName>        Process ID (PID) bits</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_processIDNumBits">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>impl</spirit:name>
+          <spirit:displayName>Nios II Core</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="impl">Tiny</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_size</spirit:name>
+          <spirit:displayName>Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="icache_size">4096</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>fa_cache_line</spirit:name>
+          <spirit:displayName>Number of Cache Lines</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="fa_cache_line">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>fa_cache_linesize</spirit:name>
+          <spirit:displayName>Line Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="fa_cache_linesize">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_tagramBlockType</spirit:name>
+          <spirit:displayName>Tag RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="icache_tagramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_ramBlockType</spirit:name>
+          <spirit:displayName>Data RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="icache_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_numTCIM</spirit:name>
+          <spirit:displayName>Number of tightly coupled instruction master ports</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="icache_numTCIM">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_burstType</spirit:name>
+          <spirit:displayName>Add burstcount signal to instruction_master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="icache_burstType">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_bursts</spirit:name>
+          <spirit:displayName>Add burstcount signal to data_master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_bursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_victim_buf_impl</spirit:name>
+          <spirit:displayName>Victim buffer implementation</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_victim_buf_impl">ram</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_size</spirit:name>
+          <spirit:displayName>Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_size">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_tagramBlockType</spirit:name>
+          <spirit:displayName>Tag RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_tagramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_ramBlockType</spirit:name>
+          <spirit:displayName>Data RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_numTCDM</spirit:name>
+          <spirit:displayName>Number of tightly coupled data master ports</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_numTCDM">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportvectors</spirit:name>
+          <spirit:displayName>Export Vectors</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportvectors">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_usedesignware</spirit:name>
+          <spirit:displayName>Use Designware Components</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_usedesignware">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ecc_present</spirit:name>
+          <spirit:displayName>ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ecc_present">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ic_ecc_present</spirit:name>
+          <spirit:displayName>Instruction Cache ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ic_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_rf_ecc_present</spirit:name>
+          <spirit:displayName>Register File ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_rf_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_mmu_ecc_present</spirit:name>
+          <spirit:displayName>MMU ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_mmu_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_dc_ecc_present</spirit:name>
+          <spirit:displayName>Data Cache ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_dc_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_itcm_ecc_present</spirit:name>
+          <spirit:displayName>Instruction TCM ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_itcm_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_dtcm_ecc_present</spirit:name>
+          <spirit:displayName>Data TCM ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_dtcm_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>regfile_ramBlockType</spirit:name>
+          <spirit:displayName>RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="regfile_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ocimem_ramBlockType</spirit:name>
+          <spirit:displayName>RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ocimem_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ocimem_ramInit</spirit:name>
+          <spirit:displayName>Initialized OCI RAM</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="ocimem_ramInit">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_ramBlockType</spirit:name>
+          <spirit:displayName>        MMU RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="mmu_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bht_ramBlockType</spirit:name>
+          <spirit:displayName>BHT RAM Block Type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bht_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cdx_enabled</spirit:name>
+          <spirit:displayName>CDX (Code Density eXtension) Instructions</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="cdx_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpx_enabled</spirit:name>
+          <spirit:displayName>mpx_enabled</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mpx_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_enabled</spirit:name>
+          <spirit:displayName>Include JTAG Debug</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_enabled">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_triggerArming</spirit:name>
+          <spirit:displayName>Trigger Arming</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_triggerArming">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_debugReqSignals</spirit:name>
+          <spirit:displayName>Include debugreq and debugack Signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_debugReqSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_assignJtagInstanceID</spirit:name>
+          <spirit:displayName>Assign JTAG Instance ID for debug core manually</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_assignJtagInstanceID">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_jtagInstanceID</spirit:name>
+          <spirit:displayName>JTAG Instance ID value</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="debug_jtagInstanceID">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_OCIOnchipTrace</spirit:name>
+          <spirit:displayName>Onchip Trace Frame Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="debug_OCIOnchipTrace">_128</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_hwbreakpoint</spirit:name>
+          <spirit:displayName>Hardware Breakpoints</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="debug_hwbreakpoint">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_datatrigger</spirit:name>
+          <spirit:displayName>Data Triggers</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="debug_datatrigger">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_traceType</spirit:name>
+          <spirit:displayName>Trace Types</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="debug_traceType">none</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_traceStorage</spirit:name>
+          <spirit:displayName>Trace Storage</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="debug_traceStorage">onchip_trace</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>master_addr_map</spirit:name>
+          <spirit:displayName>Manually Set Master Base Address and Size</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="master_addr_map">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_paddr_base</spirit:name>
+          <spirit:displayName>Instruction Master Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instruction_master_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_paddr_size</spirit:name>
+          <spirit:displayName>Instruction Master Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instruction_master_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>flash_instruction_master_paddr_base</spirit:name>
+          <spirit:displayName>Flash Instruction Master Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="flash_instruction_master_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>flash_instruction_master_paddr_size</spirit:name>
+          <spirit:displayName>Flash Instruction Master Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="flash_instruction_master_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_paddr_base</spirit:name>
+          <spirit:displayName>Data Master Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="data_master_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_paddr_size</spirit:name>
+          <spirit:displayName>Data Master Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="data_master_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_0_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 0 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_0_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_0_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 0 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_0_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_1_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 1 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_1_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_1_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 1 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_1_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_2_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 2 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_2_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_2_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 2 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_2_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_3_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 3 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_3_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_3_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 3 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_3_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_0_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 0 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_0_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_0_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 0 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_0_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_1_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 1 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_1_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_1_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 1 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_1_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_2_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 2 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_2_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_2_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 2 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_2_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_3_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 3 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_3_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_3_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 3 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_3_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_high_performance_paddr_base</spirit:name>
+          <spirit:displayName>Instruction Master High Performance Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instruction_master_high_performance_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_high_performance_paddr_size</spirit:name>
+          <spirit:displayName>Instruction Master High Performance Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instruction_master_high_performance_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_high_performance_paddr_base</spirit:name>
+          <spirit:displayName>Data Master High Performance Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="data_master_high_performance_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_high_performance_paddr_size</spirit:name>
+          <spirit:displayName>Data Master High Performance Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="data_master_high_performance_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetAbsoluteAddr</spirit:name>
+          <spirit:displayName>Reset vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="resetAbsoluteAddr">131072</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>exceptionAbsoluteAddr</spirit:name>
+          <spirit:displayName>Exception vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="exceptionAbsoluteAddr">131104</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakAbsoluteAddr</spirit:name>
+          <spirit:displayName>Break vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="breakAbsoluteAddr">14368</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_TLBMissExcAbsAddr</spirit:name>
+          <spirit:displayName>Fast TLB Miss Exception vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_TLBMissExcAbsAddr">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_bursts_derived</spirit:name>
+          <spirit:displayName>dcache_bursts_derived</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_bursts_derived">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_size_derived</spirit:name>
+          <spirit:displayName>dcache_size_derived</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_size_derived">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakSlave_derived</spirit:name>
+          <spirit:displayName>breakSlave_derived</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="breakSlave_derived">cpu_0.debug_mem_slave</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_lineSize_derived</spirit:name>
+          <spirit:displayName>dcache_lineSize_derived</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_lineSize_derived">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ioregionBypassDCache</spirit:name>
+          <spirit:displayName>setting_ioregionBypassDCache</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ioregionBypassDCache">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_bit31BypassDCache</spirit:name>
+          <spirit:displayName>setting_bit31BypassDCache</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_bit31BypassDCache">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>translate_on</spirit:name>
+          <spirit:displayName>translate_on</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="translate_on"> "synthesis translate_on"  </spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>translate_off</spirit:name>
+          <spirit:displayName>translate_off</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="translate_off"> "synthesis translate_off" </spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_onchiptrace</spirit:name>
+          <spirit:displayName>debug_onchiptrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_onchiptrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_offchiptrace</spirit:name>
+          <spirit:displayName>debug_offchiptrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_offchiptrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_insttrace</spirit:name>
+          <spirit:displayName>debug_insttrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_insttrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_datatrace</spirit:name>
+          <spirit:displayName>debug_datatrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_datatrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instAddrWidth</spirit:name>
+          <spirit:displayName>instAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instAddrWidth">18</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>faAddrWidth</spirit:name>
+          <spirit:displayName>faAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="faAddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataAddrWidth</spirit:name>
+          <spirit:displayName>dataAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dataAddrWidth">19</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster0AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster0AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster0AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster1AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster1AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster1AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster2AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster2AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster2AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster3AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster3AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster3AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster0AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster0AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster0AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster1AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster1AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster1AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster2AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster2AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster2AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster3AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster3AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster3AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataMasterHighPerformanceAddrWidth</spirit:name>
+          <spirit:displayName>dataMasterHighPerformanceAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dataMasterHighPerformanceAddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instructionMasterHighPerformanceAddrWidth</spirit:name>
+          <spirit:displayName>instructionMasterHighPerformanceAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instructionMasterHighPerformanceAddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instSlaveMapParam</spirit:name>
+          <spirit:displayName>instSlaveMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instSlaveMapParam"><![CDATA[<address-map><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>faSlaveMapParam</spirit:name>
+          <spirit:displayName>faSlaveMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="faSlaveMapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataSlaveMapParam</spirit:name>
+          <spirit:displayName>dataSlaveMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_wg.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x200' end='0x300' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x300' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_diag_data_buf_bsn.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x3200' end='0x3240' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x3240' end='0x3260' datawidth='32' /><slave name='reg_epcs.mem' start='0x3260' end='0x3280' datawidth='32' /><slave name='reg_remu.mem' start='0x3280' end='0x32A0' datawidth='32' /><slave name='reg_bsn_source.mem' start='0x32A0' end='0x32B0' datawidth='32' /><slave name='reg_diag_data_buf_jesd.mem' start='0x32B0' end='0x32C0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x32C0' end='0x32C8' datawidth='32' /><slave name='reg_si.mem' start='0x32C8' end='0x32D0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x32D0' end='0x32D8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x32D8' end='0x32E0' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x32E0' end='0x32E8' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x32E8' end='0x32F0' datawidth='32' /><slave name='pio_pps.mem' start='0x32F0' end='0x32F8' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x32F8' end='0x3300' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='jesd204b.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='ram_wg.mem' start='0x10000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_diag_data_buf_bsn.mem' start='0x40000' end='0x50000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0x50000' end='0x60000' datawidth='32' /><slave name='ram_st_sst.mem' start='0x60000' end='0x70000' datawidth='32' /><slave name='ram_aduh_monitor.mem' start='0x70000' end='0x78000' datawidth='32' /><slave name='ram_diag_data_buf_jesd.mem' start='0x78000' end='0x7A000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x7A000' end='0x7B000' datawidth='32' /></address-map>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster0MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster0MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster0MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster1MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster1MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster1MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster2MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster2MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster2MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster3MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster3MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster3MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster0MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster0MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster0MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster1MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster1MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster1MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster2MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster2MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster2MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster3MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster3MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster3MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataMasterHighPerformanceMapParam</spirit:name>
+          <spirit:displayName>dataMasterHighPerformanceMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dataMasterHighPerformanceMapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instructionMasterHighPerformanceMapParam</spirit:name>
+          <spirit:displayName>instructionMasterHighPerformanceMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instructionMasterHighPerformanceMapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockFrequency</spirit:name>
+          <spirit:displayName>clockFrequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockFrequency">100000000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamilyName</spirit:name>
+          <spirit:displayName>deviceFamilyName</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamilyName">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>internalIrqMaskSystemInfo</spirit:name>
+          <spirit:displayName>internalIrqMaskSystemInfo</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="internalIrqMaskSystemInfo">7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo_nios_a</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo_nios_a</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_a"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo_nios_b</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo_nios_b</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_b"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo_nios_c</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo_nios_c</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_c"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFeaturesSystemInfo</spirit:name>
+          <spirit:displayName>deviceFeaturesSystemInfo</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFeaturesSystemInfo">ADDRESS_STALL 0 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ALLOW_DIFF_SUFFIX_MIGRATION 0 ASSERT_TIMING_ROUTING_DELAYS_HAS_ALL_EXPECTED_DATA 0 ASSERT_TIMING_ROUTING_DELAYS_NO_AUTOFILL 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DISABLE_CRC_ERROR_DETECTION 0 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_HIGH_SPEED_HSSI 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 0 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 0 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BCM_PIN_BASED_AIOT_SUPPORT 0 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 0 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIER_PARTIAL_RECONFIG_SUPPORT 1 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_BLOCK 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 1 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MISSING_PAD_INFO 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QHD_INCREMENTAL_TIMING_CLOSURE_SUPPORT 1 HAS_QHD_IP_REUSE_INTEGRATION_SUPPORT 1 HAS_QHD_PARTITIONS_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_REVC_IO 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMPLIFIED_PARTIAL_RECONFIG_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SIP_TILE_SUPPORT 0 HAS_SPEED_GRADE_OFFSET 1 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 1 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 1 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_DQS_IN_BUFFER_REDUCTION 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_REVE_SILICON 0 IS_SDM_LITE 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LUTRAM_DATA_IN_FF_MUST_BE_HIPI 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 1 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MAC_NEGATE_SUPPORT_DISABLED 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_CLOCK_REGION 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PCF 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 PINTABLE_OPTIONAL 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 0 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 0 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_PW0 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 1 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_MIN_CORNER_DMF_GENERATION 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 0 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_TIMING_CLOSURE_CORNERS 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORT_UIB 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 U2B2_SUPPORT_NOT_READY 0 USES_ACV_FOR_FLED 0 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 0 USES_DETAILED_REDTAX_WITH_DSPF_ROUTING_MODELS 0 USES_DEV 1 USES_DSPF_ROUTING_MODELS 0 USES_DSP_FROM_PREVIOUS_FAMILY 0 USES_ESTIMATED_TIMING 0 USES_EXTRACTION_CORNERS_WITH_DSPF_ROUTING_MODELS 0 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 1 USES_PARASITIC_LOADS_WITH_DSPF_ROUTING_MODELS 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 1 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 1 USES_RAM_FROM_PREVIOUS_FAMILY 0 USES_SECOND_GENERATION_PART_INFO 1 USES_SECOND_GENERATION_POWER_ANALYZER 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_TIMING_ROUTING_DELAYS 0 USES_U2B2_TIMING_MODELS 1 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 0 USE_ADVANCED_IO_TIMING_BY_DEFAULT 0 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SDM_CONFIGURATION 0 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 0 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WORKS_AROUND_MISSING_RED_FLAGS_IN_DSPF_ROUTING_MODELS 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_DEVICE</spirit:name>
+          <spirit:displayName>Auto DEVICE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_DEVICE_SPEEDGRADE</spirit:name>
+          <spirit:displayName>Auto DEVICE_SPEEDGRADE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE_SPEEDGRADE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_CLK_CLOCK_DOMAIN</spirit:name>
+          <spirit:displayName>Auto CLOCK_DOMAIN</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="AUTO_CLK_CLOCK_DOMAIN">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_CLK_RESET_DOMAIN</spirit:name>
+          <spirit:displayName>Auto RESET_DOMAIN</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="AUTO_CLK_RESET_DOMAIN">1</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_assignments>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="debug.hostConnection" type="string">
-          <ipxact:name>debug.hostConnection</ipxact:name>
-          <ipxact:value>type jtag id 70:34|110:135</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.BIG_ENDIAN" type="string">
-          <ipxact:name>embeddedsw.CMacro.BIG_ENDIAN</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.BREAK_ADDR" type="string">
-          <ipxact:name>embeddedsw.CMacro.BREAK_ADDR</ipxact:name>
-          <ipxact:value>0x00003820</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.CPU_ARCH_NIOS2_R1" type="string">
-          <ipxact:name>embeddedsw.CMacro.CPU_ARCH_NIOS2_R1</ipxact:name>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.CPU_FREQ" type="string">
-          <ipxact:name>embeddedsw.CMacro.CPU_FREQ</ipxact:name>
-          <ipxact:value>100000000u</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.CPU_ID_SIZE" type="string">
-          <ipxact:name>embeddedsw.CMacro.CPU_ID_SIZE</ipxact:name>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.CPU_ID_VALUE" type="string">
-          <ipxact:name>embeddedsw.CMacro.CPU_ID_VALUE</ipxact:name>
-          <ipxact:value>0x00000000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.CPU_IMPLEMENTATION" type="string">
-          <ipxact:name>embeddedsw.CMacro.CPU_IMPLEMENTATION</ipxact:name>
-          <ipxact:value>"tiny"</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.DATA_ADDR_WIDTH" type="string">
-          <ipxact:name>embeddedsw.CMacro.DATA_ADDR_WIDTH</ipxact:name>
-          <ipxact:value>24</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.DCACHE_LINE_SIZE" type="string">
-          <ipxact:name>embeddedsw.CMacro.DCACHE_LINE_SIZE</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2" type="string">
-          <ipxact:name>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.DCACHE_SIZE" type="string">
-          <ipxact:name>embeddedsw.CMacro.DCACHE_SIZE</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.EXCEPTION_ADDR" type="string">
-          <ipxact:name>embeddedsw.CMacro.EXCEPTION_ADDR</ipxact:name>
-          <ipxact:value>0x00020020</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.FLASH_ACCELERATOR_LINES" type="string">
-          <ipxact:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINES</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE" type="string">
-          <ipxact:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.FLUSHDA_SUPPORTED" type="string">
-          <ipxact:name>embeddedsw.CMacro.FLUSHDA_SUPPORTED</ipxact:name>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT" type="string">
-          <ipxact:name>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT" type="string">
-          <ipxact:name>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.HARDWARE_MULX_PRESENT" type="string">
-          <ipxact:name>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_DEBUG_CORE" type="string">
-          <ipxact:name>embeddedsw.CMacro.HAS_DEBUG_CORE</ipxact:name>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_DEBUG_STUB" type="string">
-          <ipxact:name>embeddedsw.CMacro.HAS_DEBUG_STUB</ipxact:name>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION" type="string">
-          <ipxact:name>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</ipxact:name>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_JMPI_INSTRUCTION" type="string">
-          <ipxact:name>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</ipxact:name>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.ICACHE_LINE_SIZE" type="string">
-          <ipxact:name>embeddedsw.CMacro.ICACHE_LINE_SIZE</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2" type="string">
-          <ipxact:name>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.ICACHE_SIZE" type="string">
-          <ipxact:name>embeddedsw.CMacro.ICACHE_SIZE</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.INST_ADDR_WIDTH" type="string">
-          <ipxact:name>embeddedsw.CMacro.INST_ADDR_WIDTH</ipxact:name>
-          <ipxact:value>18</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.OCI_VERSION" type="string">
-          <ipxact:name>embeddedsw.CMacro.OCI_VERSION</ipxact:name>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.CMacro.RESET_ADDR" type="string">
-          <ipxact:name>embeddedsw.CMacro.RESET_ADDR</ipxact:name>
-          <ipxact:value>0x00020000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.configuration.DataCacheVictimBufImpl" type="string">
-          <ipxact:name>embeddedsw.configuration.DataCacheVictimBufImpl</ipxact:name>
-          <ipxact:value>ram</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.configuration.HDLSimCachesCleared" type="string">
-          <ipxact:name>embeddedsw.configuration.HDLSimCachesCleared</ipxact:name>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.configuration.breakOffset" type="string">
-          <ipxact:name>embeddedsw.configuration.breakOffset</ipxact:name>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.configuration.breakSlave" type="string">
-          <ipxact:name>embeddedsw.configuration.breakSlave</ipxact:name>
-          <ipxact:value>cpu_0.debug_mem_slave</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.configuration.cpuArchitecture" type="string">
-          <ipxact:name>embeddedsw.configuration.cpuArchitecture</ipxact:name>
-          <ipxact:value>Nios II</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.configuration.exceptionOffset" type="string">
-          <ipxact:name>embeddedsw.configuration.exceptionOffset</ipxact:name>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.configuration.exceptionSlave" type="string">
-          <ipxact:name>embeddedsw.configuration.exceptionSlave</ipxact:name>
-          <ipxact:value>onchip_memory2_0.s1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.configuration.resetOffset" type="string">
-          <ipxact:name>embeddedsw.configuration.resetOffset</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.configuration.resetSlave" type="string">
-          <ipxact:name>embeddedsw.configuration.resetSlave</ipxact:name>
-          <ipxact:value>onchip_memory2_0.s1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string">
-          <ipxact:name>embeddedsw.dts.compatible</ipxact:name>
-          <ipxact:value>altr,nios2-1.1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.group" type="string">
-          <ipxact:name>embeddedsw.dts.group</ipxact:name>
-          <ipxact:value>cpu</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.name" type="string">
-          <ipxact:name>embeddedsw.dts.name</ipxact:name>
-          <ipxact:value>nios2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.params.altr,exception-addr" type="string">
-          <ipxact:name>embeddedsw.dts.params.altr,exception-addr</ipxact:name>
-          <ipxact:value>0x00020020</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.params.altr,implementation" type="string">
-          <ipxact:name>embeddedsw.dts.params.altr,implementation</ipxact:name>
-          <ipxact:value>"tiny"</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.params.altr,reset-addr" type="string">
-          <ipxact:name>embeddedsw.dts.params.altr,reset-addr</ipxact:name>
-          <ipxact:value>0x00020000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.params.clock-frequency" type="string">
-          <ipxact:name>embeddedsw.dts.params.clock-frequency</ipxact:name>
-          <ipxact:value>100000000u</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.params.dcache-line-size" type="string">
-          <ipxact:name>embeddedsw.dts.params.dcache-line-size</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.params.dcache-size" type="string">
-          <ipxact:name>embeddedsw.dts.params.dcache-size</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.params.icache-line-size" type="string">
-          <ipxact:name>embeddedsw.dts.params.icache-line-size</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.params.icache-size" type="string">
-          <ipxact:name>embeddedsw.dts.params.icache-size</ipxact:name>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string">
-          <ipxact:name>embeddedsw.dts.vendor</ipxact:name>
-          <ipxact:value>altr</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>debug.hostConnection</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="debug.hostConnection">type jtag id 70:34|110:135</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.BIG_ENDIAN</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BIG_ENDIAN">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.BREAK_ADDR</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BREAK_ADDR">0x00003820</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_ARCH_NIOS2_R1</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ARCH_NIOS2_R1"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_FREQ</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_FREQ">100000000u</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_ID_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ID_SIZE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_ID_VALUE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ID_VALUE">0x00000000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_IMPLEMENTATION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_IMPLEMENTATION">"tiny"</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DATA_ADDR_WIDTH</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DATA_ADDR_WIDTH">19</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DCACHE_LINE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_LINE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DCACHE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.EXCEPTION_ADDR</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.EXCEPTION_ADDR">0x00020020</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINES</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLASH_ACCELERATOR_LINES">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.FLUSHDA_SUPPORTED</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLUSHDA_SUPPORTED"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_MULX_PRESENT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_DEBUG_CORE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_DEBUG_CORE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_DEBUG_STUB</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_DEBUG_STUB"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_JMPI_INSTRUCTION"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.ICACHE_LINE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_LINE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.ICACHE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.INST_ADDR_WIDTH</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.INST_ADDR_WIDTH">18</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.OCI_VERSION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.OCI_VERSION">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.RESET_ADDR</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.RESET_ADDR">0x00020000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.DataCacheVictimBufImpl</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.DataCacheVictimBufImpl">ram</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.HDLSimCachesCleared</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.HDLSimCachesCleared">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.breakOffset</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.breakOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.breakSlave</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.breakSlave">cpu_0.debug_mem_slave</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.cpuArchitecture</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.cpuArchitecture">Nios II</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.exceptionOffset</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.exceptionOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.exceptionSlave</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.exceptionSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.resetOffset</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.resetOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.resetSlave</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.resetSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.compatible</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.compatible">altr,nios2-1.1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.group</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.group">cpu</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.name</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.name">nios2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.altr,exception-addr</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,exception-addr">0x00020020</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.altr,implementation</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,implementation">"tiny"</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.altr,reset-addr</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,reset-addr">0x00020000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.clock-frequency</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.clock-frequency">100000000u</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.dcache-line-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.dcache-line-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.dcache-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.dcache-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.icache-line-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.icache-line-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.icache-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.icache-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.vendor</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.vendor">altr</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_assignments>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element cpu_0
-   {
-      datum _originalVersion
-      {
-         value = "18.0";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_cpu_0
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_n&lt;/name&gt;
-                    &lt;role&gt;reset_n&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_req&lt;/name&gt;
-                    &lt;role&gt;reset_req&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;data_master&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;d_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;24&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;d_byteenable&lt;/name&gt;
-                    &lt;role&gt;byteenable&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;d_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;d_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;d_waitrequest&lt;/name&gt;
-                    &lt;role&gt;waitrequest&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;d_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;d_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_mem_slave_debugaccess_to_roms&lt;/name&gt;
-                    &lt;role&gt;debugaccess&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;debug.providesServices&lt;/key&gt;
-                        &lt;value&gt;master&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;adaptsTo&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;SYMBOLS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;dBSBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;doStreamReads&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;doStreamWrites&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isAsynchronous&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isReadable&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isWriteable&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maxAddressWidth&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;instruction_master&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;i_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;18&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;i_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;i_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;i_waitrequest&lt;/name&gt;
-                    &lt;role&gt;waitrequest&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;adaptsTo&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;SYMBOLS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;dBSBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;doStreamReads&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;doStreamWrites&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isAsynchronous&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isReadable&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isWriteable&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maxAddressWidth&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;irq&lt;/name&gt;
-            &lt;type&gt;interrupt&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;irq&lt;/name&gt;
-                    &lt;role&gt;irq&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedAddressablePoint&lt;/key&gt;
-                        &lt;value&gt;qsys_lofar2_unb2b_adc_cpu_0.data_master&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;irqMap&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;irqScheme&lt;/key&gt;
-                        &lt;value&gt;INDIVIDUAL_REQUESTS&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;debug_reset_request&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_reset_request&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;none&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;debug_mem_slave&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_mem_slave_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;9&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_mem_slave_byteenable&lt;/name&gt;
-                    &lt;role&gt;byteenable&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_mem_slave_debugaccess&lt;/name&gt;
-                    &lt;role&gt;debugaccess&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_mem_slave_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_mem_slave_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_mem_slave_waitrequest&lt;/name&gt;
-                    &lt;role&gt;waitrequest&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_mem_slave_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;debug_mem_slave_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.hideDevice&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;qsys.ui.connect&lt;/key&gt;
-                        &lt;value&gt;instruction_master,data_master&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;2048&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;custom_instruction_master&lt;/name&gt;
-            &lt;type&gt;nios_custom_instruction&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;dummy_ci_port&lt;/name&gt;
-                    &lt;role&gt;readra&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CIName&lt;/key&gt;
-                        &lt;value&gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressWidth&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockCycle&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;enabled&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maxAddressWidth&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;opcodeExtension&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;sharedCombinationalAndMulticycle&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;clk&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;clk&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_DOMAIN&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;RESET_DOMAIN&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;custom_instruction_master&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;custom_instruction_master&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CUSTOM_INSTRUCTION_SLAVES&lt;/key&gt;
-                        &lt;value&gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;data_master&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;data_master&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /&amp;gt;&amp;lt;slave name='timer_0.s1' start='0x300' end='0x320' datawidth='16' /&amp;gt;&amp;lt;slave name='reg_fpga_temp_sens.mem' start='0x320' end='0x340' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_epcs.mem' start='0x340' end='0x360' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_remu.mem' start='0x360' end='0x380' datawidth='32' /&amp;gt;&amp;lt;slave name='pio_wdi.s1' start='0x380' end='0x390' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_mmdp_data.mem' start='0x390' end='0x398' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_mmdp_ctrl.mem' start='0x398' end='0x3A0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_dpmm_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_dpmm_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /&amp;gt;&amp;lt;slave name='pio_pps.mem' start='0x3B0' end='0x3B8' datawidth='32' /&amp;gt;&amp;lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x3B8' end='0x3C0' datawidth='32' /&amp;gt;&amp;lt;slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&amp;gt;&amp;lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_0.mms_ram' start='0x4000' end='0x5000' datawidth='32' /&amp;gt;&amp;lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&amp;gt;&amp;lt;slave name='jesd204b.mem' start='0x40000' end='0x44000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_monitor_input.mem' start='0x48000' end='0x48400' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_wg.mem' start='0x4C000' end='0x4C100' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_source.mem' start='0x4C100' end='0x4C110' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_scheduler.mem' start='0x4C110' end='0x4C118' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_dp_shiftram.mem' start='0x4C120' end='0x4C140' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_wg.mem' start='0x50000' end='0x60000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_jesd.mem' start='0x60000' end='0x64000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x64000' end='0x68000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_aduh_monitor.mem' start='0x68000' end='0x68100' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_aduh_monitor.mem' start='0x70000' end='0x74000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_jesd.mem' start='0x80000' end='0xC0000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;24&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;debug_mem_slave&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;debug_mem_slave&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='debug_mem_slave' start='0x0' end='0x800' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;11&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;instruction_master&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;instruction_master&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&amp;gt;&amp;lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;18&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;irq&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;irq&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;INTERRUPTS_USED&lt;/key&gt;
-                        &lt;value&gt;7&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>custom_instruction_master</name>
+            <type>nios_custom_instruction</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>dummy_ci_port</name>
+                    <role>readra</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>CIName</key>
+                        <value></value>
+                    </entry>
+                    <entry>
+                        <key>addressWidth</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>clockCycle</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>enabled</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>opcodeExtension</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>sharedCombinationalAndMulticycle</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>data_master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>d_address</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>19</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>d_byteenable</name>
+                    <role>byteenable</role>
+                    <direction>Output</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>d_read</name>
+                    <role>read</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>d_readdata</name>
+                    <role>readdata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>d_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>d_write</name>
+                    <role>write</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>d_writedata</name>
+                    <role>writedata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_debugaccess_to_roms</name>
+                    <role>debugaccess</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>debug.providesServices</key>
+                        <value>master</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>debug_mem_slave</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>debug_mem_slave_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>9</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_byteenable</name>
+                    <role>byteenable</role>
+                    <direction>Input</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_debugaccess</name>
+                    <role>debugaccess</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.hideDevice</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>qsys.ui.connect</key>
+                        <value>instruction_master,data_master</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>2048</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>debug_reset_request</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>debug_reset_request</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>none</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>instruction_master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>i_address</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>18</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>i_read</name>
+                    <role>read</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>i_readdata</name>
+                    <role>readdata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>i_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>irq</name>
+            <type>interrupt</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>irq</name>
+                    <role>irq</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedAddressablePoint</key>
+                        <value>qsys_lofar2_unb2b_filterbank_cpu_0.data_master</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>irqMap</key>
+                    </entry>
+                    <entry>
+                        <key>irqScheme</key>
+                        <value>INDIVIDUAL_REQUESTS</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset_n</name>
+                    <role>reset_n</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>reset_req</name>
+                    <role>reset_req</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>clk</key>
+            <value>
+                <connectionPointName>clk</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_DOMAIN</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                    <entry>
+                        <key>RESET_DOMAIN</key>
+                        <value>1</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>custom_instruction_master</key>
+            <value>
+                <connectionPointName>custom_instruction_master</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CUSTOM_INSTRUCTION_SLAVES</key>
+                        <value></value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>data_master</key>
+            <value>
+                <connectionPointName>data_master</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x80' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x200' end='0x300' datawidth='32' /&gt;&lt;slave name='reg_unb_pmbus.mem' start='0x300' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='reg_dp_selector.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buf_bsn.mem' start='0x3080' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_unb_sens.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0x3200' end='0x3240' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x3240' end='0x3260' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x3260' end='0x3280' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x3280' end='0x32A0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source.mem' start='0x32A0' end='0x32B0' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buf_jesd.mem' start='0x32B0' end='0x32C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x32C0' end='0x32C8' datawidth='32' /&gt;&lt;slave name='reg_si.mem' start='0x32C8' end='0x32D0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x32D0' end='0x32D8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x32D8' end='0x32E0' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x32E0' end='0x32E8' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x32E8' end='0x32F0' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x32F0' end='0x32F8' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x32F8' end='0x3300' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0x10000' end='0x20000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buf_bsn.mem' start='0x40000' end='0x50000' datawidth='32' /&gt;&lt;slave name='ram_fil_coefs.mem' start='0x50000' end='0x60000' datawidth='32' /&gt;&lt;slave name='ram_st_sst.mem' start='0x60000' end='0x70000' datawidth='32' /&gt;&lt;slave name='ram_aduh_monitor.mem' start='0x70000' end='0x78000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buf_jesd.mem' start='0x78000' end='0x7A000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x7A000' end='0x7B000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>19</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>debug_mem_slave</key>
+            <value>
+                <connectionPointName>debug_mem_slave</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='debug_mem_slave' start='0x0' end='0x800' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>11</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>instruction_master</key>
+            <value>
+                <connectionPointName>instruction_master</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>18</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>irq</key>
+            <value>
+                <connectionPointName>irq</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>INTERRUPTS_USED</key>
+                        <value>7</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_cpu_0.clk" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.clk" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="custom_instruction_master" altera:internal="qsys_lofar2_unb2b_adc_cpu_0.custom_instruction_master" altera:type="nios_custom_instruction" altera:dir="start">
+      <altera:interface_mapping altera:name="custom_instruction_master" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.custom_instruction_master" altera:type="nios_custom_instruction" altera:dir="start">
         <altera:port_mapping altera:name="dummy_ci_port" altera:internal="dummy_ci_port"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="data_master" altera:internal="qsys_lofar2_unb2b_adc_cpu_0.data_master" altera:type="avalon" altera:dir="start">
+      <altera:interface_mapping altera:name="data_master" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.data_master" altera:type="avalon" altera:dir="start">
         <altera:port_mapping altera:name="d_address" altera:internal="d_address"></altera:port_mapping>
         <altera:port_mapping altera:name="d_byteenable" altera:internal="d_byteenable"></altera:port_mapping>
         <altera:port_mapping altera:name="d_read" altera:internal="d_read"></altera:port_mapping>
@@ -3675,7 +3572,7 @@
         <altera:port_mapping altera:name="d_writedata" altera:internal="d_writedata"></altera:port_mapping>
         <altera:port_mapping altera:name="debug_mem_slave_debugaccess_to_roms" altera:internal="debug_mem_slave_debugaccess_to_roms"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="debug_mem_slave" altera:internal="qsys_lofar2_unb2b_adc_cpu_0.debug_mem_slave" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="debug_mem_slave" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.debug_mem_slave" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="debug_mem_slave_address" altera:internal="debug_mem_slave_address"></altera:port_mapping>
         <altera:port_mapping altera:name="debug_mem_slave_byteenable" altera:internal="debug_mem_slave_byteenable"></altera:port_mapping>
         <altera:port_mapping altera:name="debug_mem_slave_debugaccess" altera:internal="debug_mem_slave_debugaccess"></altera:port_mapping>
@@ -3685,24 +3582,24 @@
         <altera:port_mapping altera:name="debug_mem_slave_write" altera:internal="debug_mem_slave_write"></altera:port_mapping>
         <altera:port_mapping altera:name="debug_mem_slave_writedata" altera:internal="debug_mem_slave_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="debug_reset_request" altera:internal="qsys_lofar2_unb2b_adc_cpu_0.debug_reset_request" altera:type="reset" altera:dir="start">
+      <altera:interface_mapping altera:name="debug_reset_request" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.debug_reset_request" altera:type="reset" altera:dir="start">
         <altera:port_mapping altera:name="debug_reset_request" altera:internal="debug_reset_request"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="instruction_master" altera:internal="qsys_lofar2_unb2b_adc_cpu_0.instruction_master" altera:type="avalon" altera:dir="start">
+      <altera:interface_mapping altera:name="instruction_master" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.instruction_master" altera:type="avalon" altera:dir="start">
         <altera:port_mapping altera:name="i_address" altera:internal="i_address"></altera:port_mapping>
         <altera:port_mapping altera:name="i_read" altera:internal="i_read"></altera:port_mapping>
         <altera:port_mapping altera:name="i_readdata" altera:internal="i_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="i_waitrequest" altera:internal="i_waitrequest"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="irq" altera:internal="qsys_lofar2_unb2b_adc_cpu_0.irq" altera:type="interrupt" altera:dir="start">
+      <altera:interface_mapping altera:name="irq" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.irq" altera:type="interrupt" altera:dir="start">
         <altera:port_mapping altera:name="irq" altera:internal="irq"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_cpu_0.reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="reset_n" altera:internal="reset_n"></altera:port_mapping>
         <altera:port_mapping altera:name="reset_req" altera:internal="reset_req"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jesd204b.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jesd204b.ip
index aa47144a0c1be54cce7e1e509bbd8351262ee44f..e1b816cbc25796f44c42edde6841690ad3a2bb38 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jesd204b.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jesd204b.ip
@@ -1,1535 +1,1447 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_jesd204b</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_jesd204b</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>16384</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_jesd204b</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_jesd204b</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">16384</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_jesd204b</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_jesd204b</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>12</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_jesd204b
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;16384&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;14&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>16384</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>14</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_jesd204b.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jtag_uart_0.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jtag_uart_0.ip
index 2c36bb55a9b3d00fdbd3ca724aede8dc93ba5297..8669279959e51e20300386644f7a08a2779332c6 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jtag_uart_0.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jtag_uart_0.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>Intel Corporation</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_jtag_uart_0</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_jtag_uart_0</spirit:library>
   <spirit:name>jtag_uart_0</spirit:name>
   <spirit:version>18.0</spirit:version>
   <spirit:busInterfaces>
@@ -347,7 +347,7 @@
         <spirit:parameter>
           <spirit:name>associatedAddressablePoint</spirit:name>
           <spirit:displayName>Associated addressable interface</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_unb2c_minimal_jtag_uart_0.avalon_jtag_slave</spirit:value>
+          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_lofar2_unb2b_adc_jtag_uart_0.avalon_jtag_slave</spirit:value>
         </spirit:parameter>
         <spirit:parameter>
           <spirit:name>associatedClock</spirit:name>
@@ -549,7 +549,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>Intel Corporation</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_jtag_uart_0</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_jtag_uart_0</spirit:library>
       <spirit:name>altera_avalon_jtag_uart</spirit:name>
       <spirit:version>18.0</spirit:version>
     </altera:entity_info>
@@ -1114,7 +1114,7 @@
                 <parameterValueMap>
                     <entry>
                         <key>associatedAddressablePoint</key>
-                        <value>qsys_unb2c_minimal_jtag_uart_0.avalon_jtag_slave</value>
+                        <value>qsys_lofar2_unb2b_adc_jtag_uart_0.avalon_jtag_slave</value>
                     </entry>
                     <entry>
                         <key>associatedClock</key>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_nios2_gen2_0.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_nios2_gen2_0.ip
new file mode 100644
index 0000000000000000000000000000000000000000..b578f27457035dfbacccb7364499f50cae31caef
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_nios2_gen2_0.ip
@@ -0,0 +1,3605 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>Intel Corporation</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_nios2_gen2_0</spirit:library>
+  <spirit:name>nios2_gen2_0</spirit:name>
+  <spirit:version>18.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>custom_instruction_master</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="nios_custom_instruction" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readra</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>dummy_ci_port</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>CIName</spirit:name>
+          <spirit:displayName>CIName</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="CIName"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressWidth</spirit:name>
+          <spirit:displayName>addressWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressWidth">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockCycle</spirit:name>
+          <spirit:displayName>Clock cycles</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="clockCycle">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>enabled</spirit:name>
+          <spirit:displayName>enabled</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxAddressWidth</spirit:name>
+          <spirit:displayName>maxAddressWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxAddressWidth">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>opcodeExtension</spirit:name>
+          <spirit:displayName>opcodeExtension</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="opcodeExtension">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>sharedCombinationalAndMulticycle</spirit:name>
+          <spirit:displayName>sharedCombinationalAndMulticycle</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="sharedCombinationalAndMulticycle">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>data_master</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>byteenable</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_byteenable</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>debugaccess</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_debugaccess_to_roms</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>adaptsTo</spirit:name>
+          <spirit:displayName>Adapts to</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="adaptsTo"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dBSBigEndian</spirit:name>
+          <spirit:displayName>dBS big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="dBSBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamReads</spirit:name>
+          <spirit:displayName>Use flow control for read transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamReads">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamWrites</spirit:name>
+          <spirit:displayName>Use flow control for write transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamWrites">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isAsynchronous</spirit:name>
+          <spirit:displayName>Is asynchronous</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isAsynchronous">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Is big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isReadable</spirit:name>
+          <spirit:displayName>Is readable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isReadable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isWriteable</spirit:name>
+          <spirit:displayName>Is writeable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isWriteable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxAddressWidth</spirit:name>
+          <spirit:displayName>Maximum address width</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxAddressWidth">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>debug.providesServices</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="debug.providesServices">master</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>debug_mem_slave</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>byteenable</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_byteenable</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>debugaccess</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_debugaccess</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.hideDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.hideDevice">1</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">1</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>qsys.ui.connect</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="qsys.ui.connect">instruction_master,data_master</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>debug_reset_request</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_reset_request</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">none</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>instruction_master</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>adaptsTo</spirit:name>
+          <spirit:displayName>Adapts to</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="adaptsTo"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dBSBigEndian</spirit:name>
+          <spirit:displayName>dBS big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="dBSBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamReads</spirit:name>
+          <spirit:displayName>Use flow control for read transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamReads">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamWrites</spirit:name>
+          <spirit:displayName>Use flow control for write transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamWrites">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isAsynchronous</spirit:name>
+          <spirit:displayName>Is asynchronous</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isAsynchronous">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Is big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isReadable</spirit:name>
+          <spirit:displayName>Is readable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isReadable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isWriteable</spirit:name>
+          <spirit:displayName>Is writeable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isWriteable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxAddressWidth</spirit:name>
+          <spirit:displayName>Maximum address width</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxAddressWidth">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>irq</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>irq</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>irq</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedAddressablePoint</spirit:name>
+          <spirit:displayName>Associated addressable interface</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_lofar2_unb2b_adc_nios2_gen2_0.data_master</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>irqMap</spirit:name>
+          <spirit:displayName>IRQ Map</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="irqMap"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>irqScheme</spirit:name>
+          <spirit:displayName>Interrupt scheme</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="irqScheme">INDIVIDUAL_REQUESTS</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset_n</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset_req</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_req</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>altera_nios2_gen2</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>dummy_ci_port</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>23</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_byteenable</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_debugaccess_to_roms</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>8</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_byteenable</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_debugaccess</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_reset_request</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>17</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>irq</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_req</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>Intel Corporation</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_nios2_gen2_0</spirit:library>
+      <spirit:name>altera_nios2_gen2</spirit:name>
+      <spirit:version>18.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>tmr_enabled</spirit:name>
+          <spirit:displayName>Nios II Triple Mode Redundancy</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="tmr_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_disable_tmr_inj</spirit:name>
+          <spirit:displayName>Disabled TMR Error Injection Port</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_disable_tmr_inj">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_showUnpublishedSettings</spirit:name>
+          <spirit:displayName>Show Unpublished Settings</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_showUnpublishedSettings">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_showInternalSettings</spirit:name>
+          <spirit:displayName>Show Internal Verification Settings</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_showInternalSettings">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_preciseIllegalMemAccessException</spirit:name>
+          <spirit:displayName>Misaligned memory access</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_preciseIllegalMemAccessException">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportPCB</spirit:name>
+          <spirit:displayName>setting_exportPCB</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportPCB">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportdebuginfo</spirit:name>
+          <spirit:displayName>Export Instruction Execution States</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportdebuginfo">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_clearXBitsLDNonBypass</spirit:name>
+          <spirit:displayName>Clear X data bits</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_clearXBitsLDNonBypass">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_bigEndian</spirit:name>
+          <spirit:displayName>setting_bigEndian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_bigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_export_large_RAMs</spirit:name>
+          <spirit:displayName>Export Large RAMs</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_export_large_RAMs">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_enabled</spirit:name>
+          <spirit:displayName>ASIC enabled</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>register_file_por</spirit:name>
+          <spirit:displayName>Register File POR</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="register_file_por">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_synopsys_translate_on_off</spirit:name>
+          <spirit:displayName>ASIC Synopsys translate</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_synopsys_translate_on_off">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_third_party_synthesis</spirit:name>
+          <spirit:displayName>ASIC third party synthesis</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_third_party_synthesis">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_add_scan_mode_input</spirit:name>
+          <spirit:displayName>ASIC add scan mode input</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_add_scan_mode_input">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_oci_version</spirit:name>
+          <spirit:displayName>Nios II OCI Version</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setting_oci_version">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_fast_register_read</spirit:name>
+          <spirit:displayName>Fast Register Read</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_fast_register_read">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportHostDebugPort</spirit:name>
+          <spirit:displayName>Export Debug Host Slave</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportHostDebugPort">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_oci_export_jtag_signals</spirit:name>
+          <spirit:displayName>Export JTAG signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_oci_export_jtag_signals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_avalonDebugPortPresent</spirit:name>
+          <spirit:displayName>Avalon Debug Port Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_avalonDebugPortPresent">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_alwaysEncrypt</spirit:name>
+          <spirit:displayName>Always encrypt</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_alwaysEncrypt">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>io_regionbase</spirit:name>
+          <spirit:displayName>Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="io_regionbase">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>io_regionsize</spirit:name>
+          <spirit:displayName>Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="io_regionsize">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_support31bitdcachebypass</spirit:name>
+          <spirit:displayName>Use most-significant address bit in processor to bypass data cache</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_support31bitdcachebypass">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_activateTrace</spirit:name>
+          <spirit:displayName>Generate trace file during RTL simulation</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_activateTrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_allow_break_inst</spirit:name>
+          <spirit:displayName>Allow Break instructions</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_allow_break_inst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_activateTestEndChecker</spirit:name>
+          <spirit:displayName>Activate test end checker</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_activateTestEndChecker">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ecc_sim_test_ports</spirit:name>
+          <spirit:displayName>Enable ECC simulation test ports</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ecc_sim_test_ports">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_disableocitrace</spirit:name>
+          <spirit:displayName>Disable comptr generation</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_disableocitrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_activateMonitors</spirit:name>
+          <spirit:displayName>Activate monitors</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_activateMonitors">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_HDLSimCachesCleared</spirit:name>
+          <spirit:displayName>HDL simulation caches cleared</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_HDLSimCachesCleared">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_HBreakTest</spirit:name>
+          <spirit:displayName>Add HBreak Request port</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_HBreakTest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_breakslaveoveride</spirit:name>
+          <spirit:displayName>Manually assign break slave</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_breakslaveoveride">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_useLimit</spirit:name>
+          <spirit:displayName>Use Limit for region range</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mpu_useLimit">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_enabled</spirit:name>
+          <spirit:displayName>Include MPU</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mpu_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_enabled</spirit:name>
+          <spirit:displayName>Include MMU</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mmu_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_autoAssignTlbPtrSz</spirit:name>
+          <spirit:displayName>Optimize TLB entries base on device family</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mmu_autoAssignTlbPtrSz">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cpuReset</spirit:name>
+          <spirit:displayName>Include cpu_resetrequest and cpu_resettaken signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="cpuReset">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetrequest_enabled</spirit:name>
+          <spirit:displayName>Include reset_req signal for OCI RAM and Multi-Cycle Custom Instructions</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="resetrequest_enabled">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_removeRAMinit</spirit:name>
+          <spirit:displayName>Remove RAM Initialization</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_removeRAMinit">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_tmr_output_disable</spirit:name>
+          <spirit:displayName>Create a signal to disable TMR outputs</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_tmr_output_disable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_shadowRegisterSets</spirit:name>
+          <spirit:displayName>Number of shadow register sets (0-63)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setting_shadowRegisterSets">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_numOfInstRegion</spirit:name>
+          <spirit:displayName>        Number of instruction regions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_numOfInstRegion">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_numOfDataRegion</spirit:name>
+          <spirit:displayName>        Number of data regions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_numOfDataRegion">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_TLBMissExcOffset</spirit:name>
+          <spirit:displayName>Fast TLB Miss Exception vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_TLBMissExcOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetOffset</spirit:name>
+          <spirit:displayName>Reset vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="resetOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>exceptionOffset</spirit:name>
+          <spirit:displayName>Exception vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="exceptionOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cpuID</spirit:name>
+          <spirit:displayName>CPUID control register value</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="cpuID">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakOffset</spirit:name>
+          <spirit:displayName>Break vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="breakOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>userDefinedSettings</spirit:name>
+          <spirit:displayName>User Defined Settings</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="userDefinedSettings"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tracefilename</spirit:name>
+          <spirit:displayName>Trace File Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tracefilename"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetSlave</spirit:name>
+          <spirit:displayName>Reset vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="resetSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_TLBMissExcSlave</spirit:name>
+          <spirit:displayName>Fast TLB Miss Exception vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="mmu_TLBMissExcSlave">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>exceptionSlave</spirit:name>
+          <spirit:displayName>Exception vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="exceptionSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakSlave</spirit:name>
+          <spirit:displayName>Break vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="breakSlave">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_interruptControllerType</spirit:name>
+          <spirit:displayName>Interrupt controller</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="setting_interruptControllerType">Internal</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_branchpredictiontype</spirit:name>
+          <spirit:displayName>Branch prediction type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="setting_branchpredictiontype">Dynamic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_bhtPtrSz</spirit:name>
+          <spirit:displayName>        Number of entries (2-bits wide)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setting_bhtPtrSz">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cpuArchRev</spirit:name>
+          <spirit:displayName>Architecture Revision</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="cpuArchRev">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>stratix_dspblock_shift_mul</spirit:name>
+          <spirit:displayName>stratix_dspblock_shift_mul</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="stratix_dspblock_shift_mul">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>shifterType</spirit:name>
+          <spirit:displayName>shifterType</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="shifterType">medium_le_shift</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>multiplierType</spirit:name>
+          <spirit:displayName>multiplierType</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="multiplierType">no_mul</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mul_shift_choice</spirit:name>
+          <spirit:displayName>Multiply/Shift/Rotate Hardware</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mul_shift_choice">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mul_32_impl</spirit:name>
+          <spirit:displayName>Multiply Implementation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mul_32_impl">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mul_64_impl</spirit:name>
+          <spirit:displayName>Multiply Extended Implementation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mul_64_impl">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>shift_rot_impl</spirit:name>
+          <spirit:displayName>Shift/Rotate Implementation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="shift_rot_impl">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dividerType</spirit:name>
+          <spirit:displayName>Divide Hardware</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dividerType">no_div</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_minInstRegionSize</spirit:name>
+          <spirit:displayName>        Minimum instruction region size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_minInstRegionSize">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_minDataRegionSize</spirit:name>
+          <spirit:displayName>        Minimum data region size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_minDataRegionSize">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_uitlbNumEntries</spirit:name>
+          <spirit:displayName>        Micro ITLB entries</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_uitlbNumEntries">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_udtlbNumEntries</spirit:name>
+          <spirit:displayName>        Micro DTLB entries</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_udtlbNumEntries">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_tlbPtrSz</spirit:name>
+          <spirit:displayName>        TLB entries</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_tlbPtrSz">7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_tlbNumWays</spirit:name>
+          <spirit:displayName>        TLB Set-Associativity</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_tlbNumWays">16</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_processIDNumBits</spirit:name>
+          <spirit:displayName>        Process ID (PID) bits</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_processIDNumBits">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>impl</spirit:name>
+          <spirit:displayName>Nios II Core</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="impl">Tiny</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_size</spirit:name>
+          <spirit:displayName>Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="icache_size">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>fa_cache_line</spirit:name>
+          <spirit:displayName>Number of Cache Lines</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="fa_cache_line">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>fa_cache_linesize</spirit:name>
+          <spirit:displayName>Line Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="fa_cache_linesize">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_tagramBlockType</spirit:name>
+          <spirit:displayName>Tag RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="icache_tagramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_ramBlockType</spirit:name>
+          <spirit:displayName>Data RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="icache_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_numTCIM</spirit:name>
+          <spirit:displayName>Number of tightly coupled instruction master ports</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="icache_numTCIM">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_burstType</spirit:name>
+          <spirit:displayName>Add burstcount signal to instruction_master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="icache_burstType">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_bursts</spirit:name>
+          <spirit:displayName>Add burstcount signal to data_master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_bursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_victim_buf_impl</spirit:name>
+          <spirit:displayName>Victim buffer implementation</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_victim_buf_impl">ram</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_size</spirit:name>
+          <spirit:displayName>Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_size">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_tagramBlockType</spirit:name>
+          <spirit:displayName>Tag RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_tagramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_ramBlockType</spirit:name>
+          <spirit:displayName>Data RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_numTCDM</spirit:name>
+          <spirit:displayName>Number of tightly coupled data master ports</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_numTCDM">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportvectors</spirit:name>
+          <spirit:displayName>Export Vectors</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportvectors">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_usedesignware</spirit:name>
+          <spirit:displayName>Use Designware Components</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_usedesignware">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ecc_present</spirit:name>
+          <spirit:displayName>ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ecc_present">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ic_ecc_present</spirit:name>
+          <spirit:displayName>Instruction Cache ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ic_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_rf_ecc_present</spirit:name>
+          <spirit:displayName>Register File ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_rf_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_mmu_ecc_present</spirit:name>
+          <spirit:displayName>MMU ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_mmu_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_dc_ecc_present</spirit:name>
+          <spirit:displayName>Data Cache ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_dc_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_itcm_ecc_present</spirit:name>
+          <spirit:displayName>Instruction TCM ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_itcm_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_dtcm_ecc_present</spirit:name>
+          <spirit:displayName>Data TCM ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_dtcm_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>regfile_ramBlockType</spirit:name>
+          <spirit:displayName>RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="regfile_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ocimem_ramBlockType</spirit:name>
+          <spirit:displayName>RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ocimem_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ocimem_ramInit</spirit:name>
+          <spirit:displayName>Initialized OCI RAM</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="ocimem_ramInit">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_ramBlockType</spirit:name>
+          <spirit:displayName>        MMU RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="mmu_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bht_ramBlockType</spirit:name>
+          <spirit:displayName>BHT RAM Block Type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bht_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cdx_enabled</spirit:name>
+          <spirit:displayName>CDX (Code Density eXtension) Instructions</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="cdx_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpx_enabled</spirit:name>
+          <spirit:displayName>mpx_enabled</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mpx_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_enabled</spirit:name>
+          <spirit:displayName>Include JTAG Debug</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_enabled">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_triggerArming</spirit:name>
+          <spirit:displayName>Trigger Arming</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_triggerArming">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_debugReqSignals</spirit:name>
+          <spirit:displayName>Include debugreq and debugack Signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_debugReqSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_assignJtagInstanceID</spirit:name>
+          <spirit:displayName>Assign JTAG Instance ID for debug core manually</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_assignJtagInstanceID">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_jtagInstanceID</spirit:name>
+          <spirit:displayName>JTAG Instance ID value</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="debug_jtagInstanceID">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_OCIOnchipTrace</spirit:name>
+          <spirit:displayName>Onchip Trace Frame Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="debug_OCIOnchipTrace">_128</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_hwbreakpoint</spirit:name>
+          <spirit:displayName>Hardware Breakpoints</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="debug_hwbreakpoint">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_datatrigger</spirit:name>
+          <spirit:displayName>Data Triggers</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="debug_datatrigger">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_traceType</spirit:name>
+          <spirit:displayName>Trace Types</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="debug_traceType">none</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_traceStorage</spirit:name>
+          <spirit:displayName>Trace Storage</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="debug_traceStorage">onchip_trace</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>master_addr_map</spirit:name>
+          <spirit:displayName>Manually Set Master Base Address and Size</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="master_addr_map">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_paddr_base</spirit:name>
+          <spirit:displayName>Instruction Master Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instruction_master_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_paddr_size</spirit:name>
+          <spirit:displayName>Instruction Master Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instruction_master_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>flash_instruction_master_paddr_base</spirit:name>
+          <spirit:displayName>Flash Instruction Master Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="flash_instruction_master_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>flash_instruction_master_paddr_size</spirit:name>
+          <spirit:displayName>Flash Instruction Master Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="flash_instruction_master_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_paddr_base</spirit:name>
+          <spirit:displayName>Data Master Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="data_master_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_paddr_size</spirit:name>
+          <spirit:displayName>Data Master Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="data_master_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_0_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 0 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_0_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_0_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 0 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_0_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_1_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 1 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_1_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_1_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 1 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_1_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_2_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 2 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_2_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_2_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 2 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_2_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_3_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 3 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_3_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_3_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 3 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_3_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_0_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 0 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_0_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_0_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 0 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_0_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_1_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 1 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_1_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_1_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 1 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_1_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_2_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 2 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_2_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_2_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 2 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_2_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_3_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 3 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_3_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_3_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 3 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_3_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_high_performance_paddr_base</spirit:name>
+          <spirit:displayName>Instruction Master High Performance Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instruction_master_high_performance_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_high_performance_paddr_size</spirit:name>
+          <spirit:displayName>Instruction Master High Performance Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instruction_master_high_performance_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_high_performance_paddr_base</spirit:name>
+          <spirit:displayName>Data Master High Performance Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="data_master_high_performance_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_high_performance_paddr_size</spirit:name>
+          <spirit:displayName>Data Master High Performance Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="data_master_high_performance_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetAbsoluteAddr</spirit:name>
+          <spirit:displayName>Reset vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="resetAbsoluteAddr">131072</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>exceptionAbsoluteAddr</spirit:name>
+          <spirit:displayName>Exception vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="exceptionAbsoluteAddr">131104</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakAbsoluteAddr</spirit:name>
+          <spirit:displayName>Break vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="breakAbsoluteAddr">14368</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_TLBMissExcAbsAddr</spirit:name>
+          <spirit:displayName>Fast TLB Miss Exception vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_TLBMissExcAbsAddr">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_bursts_derived</spirit:name>
+          <spirit:displayName>dcache_bursts_derived</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_bursts_derived">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_size_derived</spirit:name>
+          <spirit:displayName>dcache_size_derived</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_size_derived">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakSlave_derived</spirit:name>
+          <spirit:displayName>breakSlave_derived</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="breakSlave_derived">cpu_0.debug_mem_slave</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_lineSize_derived</spirit:name>
+          <spirit:displayName>dcache_lineSize_derived</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_lineSize_derived">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ioregionBypassDCache</spirit:name>
+          <spirit:displayName>setting_ioregionBypassDCache</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ioregionBypassDCache">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_bit31BypassDCache</spirit:name>
+          <spirit:displayName>setting_bit31BypassDCache</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_bit31BypassDCache">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>translate_on</spirit:name>
+          <spirit:displayName>translate_on</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="translate_on"> "synthesis translate_on"  </spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>translate_off</spirit:name>
+          <spirit:displayName>translate_off</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="translate_off"> "synthesis translate_off" </spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_onchiptrace</spirit:name>
+          <spirit:displayName>debug_onchiptrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_onchiptrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_offchiptrace</spirit:name>
+          <spirit:displayName>debug_offchiptrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_offchiptrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_insttrace</spirit:name>
+          <spirit:displayName>debug_insttrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_insttrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_datatrace</spirit:name>
+          <spirit:displayName>debug_datatrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_datatrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instAddrWidth</spirit:name>
+          <spirit:displayName>instAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instAddrWidth">18</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>faAddrWidth</spirit:name>
+          <spirit:displayName>faAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="faAddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataAddrWidth</spirit:name>
+          <spirit:displayName>dataAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dataAddrWidth">24</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster0AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster0AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster0AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster1AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster1AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster1AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster2AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster2AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster2AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster3AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster3AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster3AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster0AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster0AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster0AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster1AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster1AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster1AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster2AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster2AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster2AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster3AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster3AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster3AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataMasterHighPerformanceAddrWidth</spirit:name>
+          <spirit:displayName>dataMasterHighPerformanceAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dataMasterHighPerformanceAddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instructionMasterHighPerformanceAddrWidth</spirit:name>
+          <spirit:displayName>instructionMasterHighPerformanceAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instructionMasterHighPerformanceAddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instSlaveMapParam</spirit:name>
+          <spirit:displayName>instSlaveMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instSlaveMapParam"><![CDATA[<address-map><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>faSlaveMapParam</spirit:name>
+          <spirit:displayName>faSlaveMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="faSlaveMapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataSlaveMapParam</spirit:name>
+          <spirit:displayName>dataSlaveMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x200' end='0x300' datawidth='32' /><slave name='reg_wg.mem' start='0x300' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='pio_pps.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='reg_fpga_temp_sens.mem' start='0x3040' end='0x3060' datawidth='32' /><slave name='reg_remu.mem' start='0x3060' end='0x3080' datawidth='32' /><slave name='reg_epcs.mem' start='0x3080' end='0x30A0' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x30A0' end='0x30C0' datawidth='32' /><slave name='reg_bsn_source.mem' start='0x30C0' end='0x30D0' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x30D0' end='0x30D8' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x30D8' end='0x30E0' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x30E0' end='0x30E8' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x30E8' end='0x30F0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x30F0' end='0x30F8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x30F8' end='0x3100' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x3200' end='0x3208' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='jesd204b.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_aduh_monitor.mem' start='0x8000' end='0xC000' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0xC000' end='0x10000' datawidth='32' /><slave name='ram_wg.mem' start='0x10000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x40000' end='0x41000' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster0MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster0MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster0MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster1MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster1MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster1MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster2MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster2MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster2MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster3MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster3MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster3MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster0MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster0MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster0MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster1MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster1MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster1MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster2MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster2MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster2MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster3MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster3MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster3MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataMasterHighPerformanceMapParam</spirit:name>
+          <spirit:displayName>dataMasterHighPerformanceMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dataMasterHighPerformanceMapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instructionMasterHighPerformanceMapParam</spirit:name>
+          <spirit:displayName>instructionMasterHighPerformanceMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instructionMasterHighPerformanceMapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockFrequency</spirit:name>
+          <spirit:displayName>clockFrequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockFrequency">100000000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamilyName</spirit:name>
+          <spirit:displayName>deviceFamilyName</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamilyName">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>internalIrqMaskSystemInfo</spirit:name>
+          <spirit:displayName>internalIrqMaskSystemInfo</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="internalIrqMaskSystemInfo">7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo_nios_a</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo_nios_a</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_a"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo_nios_b</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo_nios_b</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_b"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo_nios_c</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo_nios_c</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_c"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFeaturesSystemInfo</spirit:name>
+          <spirit:displayName>deviceFeaturesSystemInfo</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFeaturesSystemInfo">ADDRESS_STALL 0 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ALLOW_DIFF_SUFFIX_MIGRATION 0 ASSERT_TIMING_ROUTING_DELAYS_HAS_ALL_EXPECTED_DATA 0 ASSERT_TIMING_ROUTING_DELAYS_NO_AUTOFILL 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DISABLE_CRC_ERROR_DETECTION 0 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_HIGH_SPEED_HSSI 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 0 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 0 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BCM_PIN_BASED_AIOT_SUPPORT 0 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 0 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIER_PARTIAL_RECONFIG_SUPPORT 1 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_BLOCK 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 1 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MISSING_PAD_INFO 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QHD_INCREMENTAL_TIMING_CLOSURE_SUPPORT 1 HAS_QHD_IP_REUSE_INTEGRATION_SUPPORT 1 HAS_QHD_PARTITIONS_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_REVC_IO 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMPLIFIED_PARTIAL_RECONFIG_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SIP_TILE_SUPPORT 0 HAS_SPEED_GRADE_OFFSET 1 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 1 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 1 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_DQS_IN_BUFFER_REDUCTION 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_REVE_SILICON 0 IS_SDM_LITE 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LUTRAM_DATA_IN_FF_MUST_BE_HIPI 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 1 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MAC_NEGATE_SUPPORT_DISABLED 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_CLOCK_REGION 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PCF 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 PINTABLE_OPTIONAL 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 0 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 0 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_PW0 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 1 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_MIN_CORNER_DMF_GENERATION 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 0 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_TIMING_CLOSURE_CORNERS 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORT_UIB 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 U2B2_SUPPORT_NOT_READY 0 USES_ACV_FOR_FLED 0 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 0 USES_DETAILED_REDTAX_WITH_DSPF_ROUTING_MODELS 0 USES_DEV 1 USES_DSPF_ROUTING_MODELS 0 USES_DSP_FROM_PREVIOUS_FAMILY 0 USES_ESTIMATED_TIMING 0 USES_EXTRACTION_CORNERS_WITH_DSPF_ROUTING_MODELS 0 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 1 USES_PARASITIC_LOADS_WITH_DSPF_ROUTING_MODELS 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 1 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 1 USES_RAM_FROM_PREVIOUS_FAMILY 0 USES_SECOND_GENERATION_PART_INFO 1 USES_SECOND_GENERATION_POWER_ANALYZER 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_TIMING_ROUTING_DELAYS 0 USES_U2B2_TIMING_MODELS 1 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 0 USE_ADVANCED_IO_TIMING_BY_DEFAULT 0 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SDM_CONFIGURATION 0 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 0 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WORKS_AROUND_MISSING_RED_FLAGS_IN_DSPF_ROUTING_MODELS 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_DEVICE</spirit:name>
+          <spirit:displayName>Auto DEVICE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_DEVICE_SPEEDGRADE</spirit:name>
+          <spirit:displayName>Auto DEVICE_SPEEDGRADE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE_SPEEDGRADE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_CLK_CLOCK_DOMAIN</spirit:name>
+          <spirit:displayName>Auto CLOCK_DOMAIN</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="AUTO_CLK_CLOCK_DOMAIN">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_CLK_RESET_DOMAIN</spirit:name>
+          <spirit:displayName>Auto RESET_DOMAIN</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="AUTO_CLK_RESET_DOMAIN">1</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_assignments>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>debug.hostConnection</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="debug.hostConnection">type jtag id 70:34|110:135</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.BIG_ENDIAN</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BIG_ENDIAN">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.BREAK_ADDR</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BREAK_ADDR">0x00003820</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_ARCH_NIOS2_R1</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ARCH_NIOS2_R1"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_FREQ</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_FREQ">100000000u</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_ID_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ID_SIZE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_ID_VALUE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ID_VALUE">0x00000000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_IMPLEMENTATION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_IMPLEMENTATION">"tiny"</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DATA_ADDR_WIDTH</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DATA_ADDR_WIDTH">24</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DCACHE_LINE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_LINE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DCACHE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.EXCEPTION_ADDR</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.EXCEPTION_ADDR">0x00020020</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINES</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLASH_ACCELERATOR_LINES">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.FLUSHDA_SUPPORTED</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLUSHDA_SUPPORTED"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_MULX_PRESENT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_DEBUG_CORE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_DEBUG_CORE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_DEBUG_STUB</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_DEBUG_STUB"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_JMPI_INSTRUCTION"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.ICACHE_LINE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_LINE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.ICACHE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.INST_ADDR_WIDTH</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.INST_ADDR_WIDTH">18</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.OCI_VERSION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.OCI_VERSION">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.RESET_ADDR</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.RESET_ADDR">0x00020000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.DataCacheVictimBufImpl</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.DataCacheVictimBufImpl">ram</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.HDLSimCachesCleared</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.HDLSimCachesCleared">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.breakOffset</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.breakOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.breakSlave</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.breakSlave">cpu_0.debug_mem_slave</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.cpuArchitecture</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.cpuArchitecture">Nios II</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.exceptionOffset</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.exceptionOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.exceptionSlave</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.exceptionSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.resetOffset</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.resetOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.resetSlave</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.resetSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.compatible</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.compatible">altr,nios2-1.1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.group</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.group">cpu</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.name</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.name">nios2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.altr,exception-addr</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,exception-addr">0x00020020</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.altr,implementation</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,implementation">"tiny"</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.altr,reset-addr</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,reset-addr">0x00020000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.clock-frequency</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.clock-frequency">100000000u</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.dcache-line-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.dcache-line-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.dcache-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.dcache-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.icache-line-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.icache-line-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.icache-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.icache-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.vendor</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.vendor">altr</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_assignments>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>custom_instruction_master</name>
+            <type>nios_custom_instruction</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>dummy_ci_port</name>
+                    <role>readra</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>CIName</key>
+                        <value></value>
+                    </entry>
+                    <entry>
+                        <key>addressWidth</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>clockCycle</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>enabled</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>opcodeExtension</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>sharedCombinationalAndMulticycle</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>data_master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>d_address</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>24</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>d_byteenable</name>
+                    <role>byteenable</role>
+                    <direction>Output</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>d_read</name>
+                    <role>read</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>d_readdata</name>
+                    <role>readdata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>d_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>d_write</name>
+                    <role>write</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>d_writedata</name>
+                    <role>writedata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_debugaccess_to_roms</name>
+                    <role>debugaccess</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>debug.providesServices</key>
+                        <value>master</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>debug_mem_slave</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>debug_mem_slave_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>9</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_byteenable</name>
+                    <role>byteenable</role>
+                    <direction>Input</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_debugaccess</name>
+                    <role>debugaccess</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.hideDevice</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>qsys.ui.connect</key>
+                        <value>instruction_master,data_master</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>2048</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>debug_reset_request</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>debug_reset_request</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>none</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>instruction_master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>i_address</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>18</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>i_read</name>
+                    <role>read</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>i_readdata</name>
+                    <role>readdata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>i_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>irq</name>
+            <type>interrupt</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>irq</name>
+                    <role>irq</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedAddressablePoint</key>
+                        <value>qsys_lofar2_unb2b_adc_nios2_gen2_0.data_master</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>irqMap</key>
+                    </entry>
+                    <entry>
+                        <key>irqScheme</key>
+                        <value>INDIVIDUAL_REQUESTS</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset_n</name>
+                    <role>reset_n</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>reset_req</name>
+                    <role>reset_req</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>clk</key>
+            <value>
+                <connectionPointName>clk</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_DOMAIN</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                    <entry>
+                        <key>RESET_DOMAIN</key>
+                        <value>1</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>custom_instruction_master</key>
+            <value>
+                <connectionPointName>custom_instruction_master</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CUSTOM_INSTRUCTION_SLAVES</key>
+                        <value></value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>data_master</key>
+            <value>
+                <connectionPointName>data_master</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_unb_sens.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_unb_pmbus.mem' start='0x200' end='0x300' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x300' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x3040' end='0x3060' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x3060' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x3080' end='0x30A0' datawidth='32' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x30A0' end='0x30C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source.mem' start='0x30C0' end='0x30D0' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x30D0' end='0x30D8' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x30D8' end='0x30E0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x30E0' end='0x30E8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x30E8' end='0x30F0' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x30F0' end='0x30F8' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x30F8' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x3200' end='0x3208' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='ram_aduh_monitor.mem' start='0x8000' end='0xC000' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0xC000' end='0x10000' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0x10000' end='0x20000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x40000' end='0x41000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>24</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>debug_mem_slave</key>
+            <value>
+                <connectionPointName>debug_mem_slave</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='debug_mem_slave' start='0x0' end='0x800' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>11</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>instruction_master</key>
+            <value>
+                <connectionPointName>instruction_master</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>18</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>irq</key>
+            <value>
+                <connectionPointName>irq</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>INTERRUPTS_USED</key>
+                        <value>7</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="clk" altera:internal="nios2_gen2_0.clk" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="custom_instruction_master" altera:internal="nios2_gen2_0.custom_instruction_master" altera:type="nios_custom_instruction" altera:dir="start">
+        <altera:port_mapping altera:name="dummy_ci_port" altera:internal="dummy_ci_port"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="data_master" altera:internal="nios2_gen2_0.data_master" altera:type="avalon" altera:dir="start">
+        <altera:port_mapping altera:name="d_address" altera:internal="d_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_byteenable" altera:internal="d_byteenable"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_read" altera:internal="d_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_readdata" altera:internal="d_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_waitrequest" altera:internal="d_waitrequest"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_write" altera:internal="d_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_writedata" altera:internal="d_writedata"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_debugaccess_to_roms" altera:internal="debug_mem_slave_debugaccess_to_roms"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="debug_mem_slave" altera:internal="nios2_gen2_0.debug_mem_slave" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="debug_mem_slave_address" altera:internal="debug_mem_slave_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_byteenable" altera:internal="debug_mem_slave_byteenable"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_debugaccess" altera:internal="debug_mem_slave_debugaccess"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_read" altera:internal="debug_mem_slave_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_readdata" altera:internal="debug_mem_slave_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_waitrequest" altera:internal="debug_mem_slave_waitrequest"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_write" altera:internal="debug_mem_slave_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_writedata" altera:internal="debug_mem_slave_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="debug_reset_request" altera:internal="nios2_gen2_0.debug_reset_request" altera:type="reset" altera:dir="start">
+        <altera:port_mapping altera:name="debug_reset_request" altera:internal="debug_reset_request"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="instruction_master" altera:internal="nios2_gen2_0.instruction_master" altera:type="avalon" altera:dir="start">
+        <altera:port_mapping altera:name="i_address" altera:internal="i_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="i_read" altera:internal="i_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="i_readdata" altera:internal="i_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="i_waitrequest" altera:internal="i_waitrequest"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="irq" altera:internal="nios2_gen2_0.irq" altera:type="interrupt" altera:dir="start">
+        <altera:port_mapping altera:name="irq" altera:internal="irq"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="nios2_gen2_0.reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="reset_n" altera:internal="reset_n"></altera:port_mapping>
+        <altera:port_mapping altera:name="reset_req" altera:internal="reset_req"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_nios2_gen2_1.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_nios2_gen2_1.ip
new file mode 100644
index 0000000000000000000000000000000000000000..73cb18d7775b97343553771e3ea3aa3f2aafa7bd
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_nios2_gen2_1.ip
@@ -0,0 +1,3605 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>Intel Corporation</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_nios2_gen2_1</spirit:library>
+  <spirit:name>nios2_gen2_1</spirit:name>
+  <spirit:version>18.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>custom_instruction_master</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="nios_custom_instruction" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readra</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>dummy_ci_port</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>CIName</spirit:name>
+          <spirit:displayName>CIName</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="CIName"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressWidth</spirit:name>
+          <spirit:displayName>addressWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressWidth">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockCycle</spirit:name>
+          <spirit:displayName>Clock cycles</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="clockCycle">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>enabled</spirit:name>
+          <spirit:displayName>enabled</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxAddressWidth</spirit:name>
+          <spirit:displayName>maxAddressWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxAddressWidth">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>opcodeExtension</spirit:name>
+          <spirit:displayName>opcodeExtension</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="opcodeExtension">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>sharedCombinationalAndMulticycle</spirit:name>
+          <spirit:displayName>sharedCombinationalAndMulticycle</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="sharedCombinationalAndMulticycle">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>data_master</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>byteenable</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_byteenable</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>d_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>debugaccess</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_debugaccess_to_roms</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>adaptsTo</spirit:name>
+          <spirit:displayName>Adapts to</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="adaptsTo"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dBSBigEndian</spirit:name>
+          <spirit:displayName>dBS big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="dBSBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamReads</spirit:name>
+          <spirit:displayName>Use flow control for read transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamReads">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamWrites</spirit:name>
+          <spirit:displayName>Use flow control for write transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamWrites">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isAsynchronous</spirit:name>
+          <spirit:displayName>Is asynchronous</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isAsynchronous">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Is big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isReadable</spirit:name>
+          <spirit:displayName>Is readable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isReadable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isWriteable</spirit:name>
+          <spirit:displayName>Is writeable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isWriteable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxAddressWidth</spirit:name>
+          <spirit:displayName>Maximum address width</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxAddressWidth">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>debug.providesServices</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="debug.providesServices">master</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>debug_mem_slave</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>byteenable</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_byteenable</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>debugaccess</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_debugaccess</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_mem_slave_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.hideDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.hideDevice">1</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">1</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>qsys.ui.connect</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="qsys.ui.connect">instruction_master,data_master</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>debug_reset_request</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>debug_reset_request</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">none</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>instruction_master</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>i_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>adaptsTo</spirit:name>
+          <spirit:displayName>Adapts to</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="adaptsTo"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dBSBigEndian</spirit:name>
+          <spirit:displayName>dBS big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="dBSBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamReads</spirit:name>
+          <spirit:displayName>Use flow control for read transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamReads">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>doStreamWrites</spirit:name>
+          <spirit:displayName>Use flow control for write transfers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="doStreamWrites">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isAsynchronous</spirit:name>
+          <spirit:displayName>Is asynchronous</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isAsynchronous">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Is big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isReadable</spirit:name>
+          <spirit:displayName>Is readable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isReadable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isWriteable</spirit:name>
+          <spirit:displayName>Is writeable</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isWriteable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxAddressWidth</spirit:name>
+          <spirit:displayName>Maximum address width</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxAddressWidth">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>irq</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>irq</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>irq</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedAddressablePoint</spirit:name>
+          <spirit:displayName>Associated addressable interface</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_lofar2_unb2b_adc_nios2_gen2_1.data_master</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>irqMap</spirit:name>
+          <spirit:displayName>IRQ Map</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="irqMap"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>irqScheme</spirit:name>
+          <spirit:displayName>Interrupt scheme</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="irqScheme">INDIVIDUAL_REQUESTS</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset_n</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset_req</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_req</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>altera_nios2_gen2</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>dummy_ci_port</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>23</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_byteenable</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>d_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_debugaccess_to_roms</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>8</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_byteenable</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_debugaccess</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_mem_slave_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>debug_reset_request</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>17</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>i_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>irq</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_req</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>Intel Corporation</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_nios2_gen2_1</spirit:library>
+      <spirit:name>altera_nios2_gen2</spirit:name>
+      <spirit:version>18.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>tmr_enabled</spirit:name>
+          <spirit:displayName>Nios II Triple Mode Redundancy</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="tmr_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_disable_tmr_inj</spirit:name>
+          <spirit:displayName>Disabled TMR Error Injection Port</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_disable_tmr_inj">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_showUnpublishedSettings</spirit:name>
+          <spirit:displayName>Show Unpublished Settings</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_showUnpublishedSettings">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_showInternalSettings</spirit:name>
+          <spirit:displayName>Show Internal Verification Settings</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_showInternalSettings">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_preciseIllegalMemAccessException</spirit:name>
+          <spirit:displayName>Misaligned memory access</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_preciseIllegalMemAccessException">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportPCB</spirit:name>
+          <spirit:displayName>setting_exportPCB</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportPCB">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportdebuginfo</spirit:name>
+          <spirit:displayName>Export Instruction Execution States</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportdebuginfo">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_clearXBitsLDNonBypass</spirit:name>
+          <spirit:displayName>Clear X data bits</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_clearXBitsLDNonBypass">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_bigEndian</spirit:name>
+          <spirit:displayName>setting_bigEndian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_bigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_export_large_RAMs</spirit:name>
+          <spirit:displayName>Export Large RAMs</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_export_large_RAMs">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_enabled</spirit:name>
+          <spirit:displayName>ASIC enabled</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>register_file_por</spirit:name>
+          <spirit:displayName>Register File POR</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="register_file_por">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_synopsys_translate_on_off</spirit:name>
+          <spirit:displayName>ASIC Synopsys translate</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_synopsys_translate_on_off">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_third_party_synthesis</spirit:name>
+          <spirit:displayName>ASIC third party synthesis</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_third_party_synthesis">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_asic_add_scan_mode_input</spirit:name>
+          <spirit:displayName>ASIC add scan mode input</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_asic_add_scan_mode_input">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_oci_version</spirit:name>
+          <spirit:displayName>Nios II OCI Version</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setting_oci_version">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_fast_register_read</spirit:name>
+          <spirit:displayName>Fast Register Read</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_fast_register_read">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportHostDebugPort</spirit:name>
+          <spirit:displayName>Export Debug Host Slave</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportHostDebugPort">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_oci_export_jtag_signals</spirit:name>
+          <spirit:displayName>Export JTAG signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_oci_export_jtag_signals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_avalonDebugPortPresent</spirit:name>
+          <spirit:displayName>Avalon Debug Port Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_avalonDebugPortPresent">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_alwaysEncrypt</spirit:name>
+          <spirit:displayName>Always encrypt</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_alwaysEncrypt">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>io_regionbase</spirit:name>
+          <spirit:displayName>Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="io_regionbase">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>io_regionsize</spirit:name>
+          <spirit:displayName>Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="io_regionsize">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_support31bitdcachebypass</spirit:name>
+          <spirit:displayName>Use most-significant address bit in processor to bypass data cache</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_support31bitdcachebypass">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_activateTrace</spirit:name>
+          <spirit:displayName>Generate trace file during RTL simulation</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_activateTrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_allow_break_inst</spirit:name>
+          <spirit:displayName>Allow Break instructions</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_allow_break_inst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_activateTestEndChecker</spirit:name>
+          <spirit:displayName>Activate test end checker</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_activateTestEndChecker">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ecc_sim_test_ports</spirit:name>
+          <spirit:displayName>Enable ECC simulation test ports</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ecc_sim_test_ports">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_disableocitrace</spirit:name>
+          <spirit:displayName>Disable comptr generation</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_disableocitrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_activateMonitors</spirit:name>
+          <spirit:displayName>Activate monitors</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_activateMonitors">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_HDLSimCachesCleared</spirit:name>
+          <spirit:displayName>HDL simulation caches cleared</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_HDLSimCachesCleared">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_HBreakTest</spirit:name>
+          <spirit:displayName>Add HBreak Request port</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_HBreakTest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_breakslaveoveride</spirit:name>
+          <spirit:displayName>Manually assign break slave</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_breakslaveoveride">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_useLimit</spirit:name>
+          <spirit:displayName>Use Limit for region range</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mpu_useLimit">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_enabled</spirit:name>
+          <spirit:displayName>Include MPU</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mpu_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_enabled</spirit:name>
+          <spirit:displayName>Include MMU</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mmu_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_autoAssignTlbPtrSz</spirit:name>
+          <spirit:displayName>Optimize TLB entries base on device family</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mmu_autoAssignTlbPtrSz">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cpuReset</spirit:name>
+          <spirit:displayName>Include cpu_resetrequest and cpu_resettaken signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="cpuReset">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetrequest_enabled</spirit:name>
+          <spirit:displayName>Include reset_req signal for OCI RAM and Multi-Cycle Custom Instructions</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="resetrequest_enabled">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_removeRAMinit</spirit:name>
+          <spirit:displayName>Remove RAM Initialization</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_removeRAMinit">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_tmr_output_disable</spirit:name>
+          <spirit:displayName>Create a signal to disable TMR outputs</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_tmr_output_disable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_shadowRegisterSets</spirit:name>
+          <spirit:displayName>Number of shadow register sets (0-63)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setting_shadowRegisterSets">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_numOfInstRegion</spirit:name>
+          <spirit:displayName>        Number of instruction regions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_numOfInstRegion">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_numOfDataRegion</spirit:name>
+          <spirit:displayName>        Number of data regions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_numOfDataRegion">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_TLBMissExcOffset</spirit:name>
+          <spirit:displayName>Fast TLB Miss Exception vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_TLBMissExcOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetOffset</spirit:name>
+          <spirit:displayName>Reset vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="resetOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>exceptionOffset</spirit:name>
+          <spirit:displayName>Exception vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="exceptionOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cpuID</spirit:name>
+          <spirit:displayName>CPUID control register value</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="cpuID">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakOffset</spirit:name>
+          <spirit:displayName>Break vector offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="breakOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>userDefinedSettings</spirit:name>
+          <spirit:displayName>User Defined Settings</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="userDefinedSettings"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tracefilename</spirit:name>
+          <spirit:displayName>Trace File Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tracefilename"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetSlave</spirit:name>
+          <spirit:displayName>Reset vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="resetSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_TLBMissExcSlave</spirit:name>
+          <spirit:displayName>Fast TLB Miss Exception vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="mmu_TLBMissExcSlave">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>exceptionSlave</spirit:name>
+          <spirit:displayName>Exception vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="exceptionSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakSlave</spirit:name>
+          <spirit:displayName>Break vector memory</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="breakSlave">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_interruptControllerType</spirit:name>
+          <spirit:displayName>Interrupt controller</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="setting_interruptControllerType">Internal</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_branchpredictiontype</spirit:name>
+          <spirit:displayName>Branch prediction type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="setting_branchpredictiontype">Dynamic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_bhtPtrSz</spirit:name>
+          <spirit:displayName>        Number of entries (2-bits wide)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setting_bhtPtrSz">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cpuArchRev</spirit:name>
+          <spirit:displayName>Architecture Revision</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="cpuArchRev">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>stratix_dspblock_shift_mul</spirit:name>
+          <spirit:displayName>stratix_dspblock_shift_mul</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="stratix_dspblock_shift_mul">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>shifterType</spirit:name>
+          <spirit:displayName>shifterType</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="shifterType">medium_le_shift</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>multiplierType</spirit:name>
+          <spirit:displayName>multiplierType</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="multiplierType">no_mul</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mul_shift_choice</spirit:name>
+          <spirit:displayName>Multiply/Shift/Rotate Hardware</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mul_shift_choice">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mul_32_impl</spirit:name>
+          <spirit:displayName>Multiply Implementation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mul_32_impl">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mul_64_impl</spirit:name>
+          <spirit:displayName>Multiply Extended Implementation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mul_64_impl">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>shift_rot_impl</spirit:name>
+          <spirit:displayName>Shift/Rotate Implementation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="shift_rot_impl">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dividerType</spirit:name>
+          <spirit:displayName>Divide Hardware</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dividerType">no_div</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_minInstRegionSize</spirit:name>
+          <spirit:displayName>        Minimum instruction region size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_minInstRegionSize">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpu_minDataRegionSize</spirit:name>
+          <spirit:displayName>        Minimum data region size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mpu_minDataRegionSize">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_uitlbNumEntries</spirit:name>
+          <spirit:displayName>        Micro ITLB entries</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_uitlbNumEntries">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_udtlbNumEntries</spirit:name>
+          <spirit:displayName>        Micro DTLB entries</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_udtlbNumEntries">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_tlbPtrSz</spirit:name>
+          <spirit:displayName>        TLB entries</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_tlbPtrSz">7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_tlbNumWays</spirit:name>
+          <spirit:displayName>        TLB Set-Associativity</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_tlbNumWays">16</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_processIDNumBits</spirit:name>
+          <spirit:displayName>        Process ID (PID) bits</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_processIDNumBits">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>impl</spirit:name>
+          <spirit:displayName>Nios II Core</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="impl">Tiny</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_size</spirit:name>
+          <spirit:displayName>Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="icache_size">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>fa_cache_line</spirit:name>
+          <spirit:displayName>Number of Cache Lines</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="fa_cache_line">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>fa_cache_linesize</spirit:name>
+          <spirit:displayName>Line Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="fa_cache_linesize">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_tagramBlockType</spirit:name>
+          <spirit:displayName>Tag RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="icache_tagramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_ramBlockType</spirit:name>
+          <spirit:displayName>Data RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="icache_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_numTCIM</spirit:name>
+          <spirit:displayName>Number of tightly coupled instruction master ports</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="icache_numTCIM">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>icache_burstType</spirit:name>
+          <spirit:displayName>Add burstcount signal to instruction_master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="icache_burstType">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_bursts</spirit:name>
+          <spirit:displayName>Add burstcount signal to data_master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_bursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_victim_buf_impl</spirit:name>
+          <spirit:displayName>Victim buffer implementation</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_victim_buf_impl">ram</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_size</spirit:name>
+          <spirit:displayName>Size</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_size">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_tagramBlockType</spirit:name>
+          <spirit:displayName>Tag RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_tagramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_ramBlockType</spirit:name>
+          <spirit:displayName>Data RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_numTCDM</spirit:name>
+          <spirit:displayName>Number of tightly coupled data master ports</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_numTCDM">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_exportvectors</spirit:name>
+          <spirit:displayName>Export Vectors</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_exportvectors">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_usedesignware</spirit:name>
+          <spirit:displayName>Use Designware Components</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_usedesignware">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ecc_present</spirit:name>
+          <spirit:displayName>ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ecc_present">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ic_ecc_present</spirit:name>
+          <spirit:displayName>Instruction Cache ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ic_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_rf_ecc_present</spirit:name>
+          <spirit:displayName>Register File ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_rf_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_mmu_ecc_present</spirit:name>
+          <spirit:displayName>MMU ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_mmu_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_dc_ecc_present</spirit:name>
+          <spirit:displayName>Data Cache ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_dc_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_itcm_ecc_present</spirit:name>
+          <spirit:displayName>Instruction TCM ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_itcm_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_dtcm_ecc_present</spirit:name>
+          <spirit:displayName>Data TCM ECC Present</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_dtcm_ecc_present">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>regfile_ramBlockType</spirit:name>
+          <spirit:displayName>RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="regfile_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ocimem_ramBlockType</spirit:name>
+          <spirit:displayName>RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ocimem_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ocimem_ramInit</spirit:name>
+          <spirit:displayName>Initialized OCI RAM</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="ocimem_ramInit">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_ramBlockType</spirit:name>
+          <spirit:displayName>        MMU RAM block type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="mmu_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bht_ramBlockType</spirit:name>
+          <spirit:displayName>BHT RAM Block Type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bht_ramBlockType">Automatic</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cdx_enabled</spirit:name>
+          <spirit:displayName>CDX (Code Density eXtension) Instructions</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="cdx_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mpx_enabled</spirit:name>
+          <spirit:displayName>mpx_enabled</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="mpx_enabled">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_enabled</spirit:name>
+          <spirit:displayName>Include JTAG Debug</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_enabled">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_triggerArming</spirit:name>
+          <spirit:displayName>Trigger Arming</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_triggerArming">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_debugReqSignals</spirit:name>
+          <spirit:displayName>Include debugreq and debugack Signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_debugReqSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_assignJtagInstanceID</spirit:name>
+          <spirit:displayName>Assign JTAG Instance ID for debug core manually</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_assignJtagInstanceID">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_jtagInstanceID</spirit:name>
+          <spirit:displayName>JTAG Instance ID value</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="debug_jtagInstanceID">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_OCIOnchipTrace</spirit:name>
+          <spirit:displayName>Onchip Trace Frame Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="debug_OCIOnchipTrace">_128</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_hwbreakpoint</spirit:name>
+          <spirit:displayName>Hardware Breakpoints</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="debug_hwbreakpoint">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_datatrigger</spirit:name>
+          <spirit:displayName>Data Triggers</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="debug_datatrigger">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_traceType</spirit:name>
+          <spirit:displayName>Trace Types</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="debug_traceType">none</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_traceStorage</spirit:name>
+          <spirit:displayName>Trace Storage</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="debug_traceStorage">onchip_trace</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>master_addr_map</spirit:name>
+          <spirit:displayName>Manually Set Master Base Address and Size</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="master_addr_map">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_paddr_base</spirit:name>
+          <spirit:displayName>Instruction Master Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instruction_master_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_paddr_size</spirit:name>
+          <spirit:displayName>Instruction Master Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instruction_master_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>flash_instruction_master_paddr_base</spirit:name>
+          <spirit:displayName>Flash Instruction Master Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="flash_instruction_master_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>flash_instruction_master_paddr_size</spirit:name>
+          <spirit:displayName>Flash Instruction Master Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="flash_instruction_master_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_paddr_base</spirit:name>
+          <spirit:displayName>Data Master Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="data_master_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_paddr_size</spirit:name>
+          <spirit:displayName>Data Master Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="data_master_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_0_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 0 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_0_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_0_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 0 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_0_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_1_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 1 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_1_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_1_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 1 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_1_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_2_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 2 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_2_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_2_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 2 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_2_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_3_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 3 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_3_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_instruction_master_3_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Instruction Master 3 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_3_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_0_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 0 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_0_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_0_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 0 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_0_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_1_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 1 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_1_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_1_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 1 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_1_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_2_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 2 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_2_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_2_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 2 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_2_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_3_paddr_base</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 3 Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_3_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightly_coupled_data_master_3_paddr_size</spirit:name>
+          <spirit:displayName>Tightly coupled Data Master 3 Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_3_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_high_performance_paddr_base</spirit:name>
+          <spirit:displayName>Instruction Master High Performance Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instruction_master_high_performance_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instruction_master_high_performance_paddr_size</spirit:name>
+          <spirit:displayName>Instruction Master High Performance Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instruction_master_high_performance_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_high_performance_paddr_base</spirit:name>
+          <spirit:displayName>Data Master High Performance Base Address</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="data_master_high_performance_paddr_base">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>data_master_high_performance_paddr_size</spirit:name>
+          <spirit:displayName>Data Master High Performance Size</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="data_master_high_performance_paddr_size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>resetAbsoluteAddr</spirit:name>
+          <spirit:displayName>Reset vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="resetAbsoluteAddr">131072</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>exceptionAbsoluteAddr</spirit:name>
+          <spirit:displayName>Exception vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="exceptionAbsoluteAddr">131104</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakAbsoluteAddr</spirit:name>
+          <spirit:displayName>Break vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="breakAbsoluteAddr">14368</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mmu_TLBMissExcAbsAddr</spirit:name>
+          <spirit:displayName>Fast TLB Miss Exception vector</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="mmu_TLBMissExcAbsAddr">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_bursts_derived</spirit:name>
+          <spirit:displayName>dcache_bursts_derived</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dcache_bursts_derived">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_size_derived</spirit:name>
+          <spirit:displayName>dcache_size_derived</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_size_derived">2048</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>breakSlave_derived</spirit:name>
+          <spirit:displayName>breakSlave_derived</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="breakSlave_derived">cpu0.debug_mem_slave</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dcache_lineSize_derived</spirit:name>
+          <spirit:displayName>dcache_lineSize_derived</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dcache_lineSize_derived">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_ioregionBypassDCache</spirit:name>
+          <spirit:displayName>setting_ioregionBypassDCache</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_ioregionBypassDCache">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setting_bit31BypassDCache</spirit:name>
+          <spirit:displayName>setting_bit31BypassDCache</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="setting_bit31BypassDCache">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>translate_on</spirit:name>
+          <spirit:displayName>translate_on</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="translate_on"> "synthesis translate_on"  </spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>translate_off</spirit:name>
+          <spirit:displayName>translate_off</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="translate_off"> "synthesis translate_off" </spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_onchiptrace</spirit:name>
+          <spirit:displayName>debug_onchiptrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_onchiptrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_offchiptrace</spirit:name>
+          <spirit:displayName>debug_offchiptrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_offchiptrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_insttrace</spirit:name>
+          <spirit:displayName>debug_insttrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_insttrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>debug_datatrace</spirit:name>
+          <spirit:displayName>debug_datatrace</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="debug_datatrace">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instAddrWidth</spirit:name>
+          <spirit:displayName>instAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instAddrWidth">18</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>faAddrWidth</spirit:name>
+          <spirit:displayName>faAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="faAddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataAddrWidth</spirit:name>
+          <spirit:displayName>dataAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dataAddrWidth">24</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster0AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster0AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster0AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster1AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster1AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster1AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster2AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster2AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster2AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster3AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster3AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster3AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster0AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster0AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster0AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster1AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster1AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster1AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster2AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster2AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster2AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster3AddrWidth</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster3AddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster3AddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataMasterHighPerformanceAddrWidth</spirit:name>
+          <spirit:displayName>dataMasterHighPerformanceAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dataMasterHighPerformanceAddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instructionMasterHighPerformanceAddrWidth</spirit:name>
+          <spirit:displayName>instructionMasterHighPerformanceAddrWidth</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="instructionMasterHighPerformanceAddrWidth">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instSlaveMapParam</spirit:name>
+          <spirit:displayName>instSlaveMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instSlaveMapParam"><![CDATA[<address-map><slave name='cpu0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>faSlaveMapParam</spirit:name>
+          <spirit:displayName>faSlaveMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="faSlaveMapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataSlaveMapParam</spirit:name>
+          <spirit:displayName>dataSlaveMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_wg.mem' start='0x200' end='0x300' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x300' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='reg_dp_shiftram.mem' start='0x3040' end='0x3060' datawidth='32' /><slave name='reg_epcs.mem' start='0x3060' end='0x3080' datawidth='32' /><slave name='reg_remu.mem' start='0x3080' end='0x30A0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x30A0' end='0x30C0' datawidth='32' /><slave name='reg_bsn_source.mem' start='0x30C0' end='0x30D0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x30D0' end='0x30D8' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x30D8' end='0x30E0' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x30E0' end='0x30E8' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x30E8' end='0x30F0' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x30F0' end='0x30F8' datawidth='32' /><slave name='pio_pps.mem' start='0x30F8' end='0x3100' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x3200' end='0x3208' datawidth='32' /><slave name='cpu0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_aduh_monitor.mem' start='0x8000' end='0xC000' datawidth='32' /><slave name='jesd204b.mem' start='0xC000' end='0x10000' datawidth='32' /><slave name='ram_wg.mem' start='0x10000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x40000' end='0x41000' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster0MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster0MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster0MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster1MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster1MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster1MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster2MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster2MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster2MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledDataMaster3MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledDataMaster3MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster3MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster0MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster0MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster0MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster1MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster1MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster1MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster2MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster2MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster2MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>tightlyCoupledInstructionMaster3MapParam</spirit:name>
+          <spirit:displayName>tightlyCoupledInstructionMaster3MapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster3MapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataMasterHighPerformanceMapParam</spirit:name>
+          <spirit:displayName>dataMasterHighPerformanceMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="dataMasterHighPerformanceMapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>instructionMasterHighPerformanceMapParam</spirit:name>
+          <spirit:displayName>instructionMasterHighPerformanceMapParam</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="instructionMasterHighPerformanceMapParam"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockFrequency</spirit:name>
+          <spirit:displayName>clockFrequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockFrequency">100000000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamilyName</spirit:name>
+          <spirit:displayName>deviceFamilyName</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamilyName">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>internalIrqMaskSystemInfo</spirit:name>
+          <spirit:displayName>internalIrqMaskSystemInfo</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="internalIrqMaskSystemInfo">7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo_nios_a</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo_nios_a</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_a"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo_nios_b</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo_nios_b</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_b"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>customInstSlavesSystemInfo_nios_c</spirit:name>
+          <spirit:displayName>customInstSlavesSystemInfo_nios_c</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_c"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFeaturesSystemInfo</spirit:name>
+          <spirit:displayName>deviceFeaturesSystemInfo</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFeaturesSystemInfo">ADDRESS_STALL 0 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ALLOW_DIFF_SUFFIX_MIGRATION 0 ASSERT_TIMING_ROUTING_DELAYS_HAS_ALL_EXPECTED_DATA 0 ASSERT_TIMING_ROUTING_DELAYS_NO_AUTOFILL 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DISABLE_CRC_ERROR_DETECTION 0 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_HIGH_SPEED_HSSI 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 0 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 0 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BCM_PIN_BASED_AIOT_SUPPORT 0 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 0 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIER_PARTIAL_RECONFIG_SUPPORT 1 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_BLOCK 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 1 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MISSING_PAD_INFO 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QHD_INCREMENTAL_TIMING_CLOSURE_SUPPORT 1 HAS_QHD_IP_REUSE_INTEGRATION_SUPPORT 1 HAS_QHD_PARTITIONS_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_REVC_IO 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMPLIFIED_PARTIAL_RECONFIG_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SIP_TILE_SUPPORT 0 HAS_SPEED_GRADE_OFFSET 1 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 1 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 1 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_DQS_IN_BUFFER_REDUCTION 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_REVE_SILICON 0 IS_SDM_LITE 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LUTRAM_DATA_IN_FF_MUST_BE_HIPI 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 1 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MAC_NEGATE_SUPPORT_DISABLED 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_CLOCK_REGION 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PCF 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 PINTABLE_OPTIONAL 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 0 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 0 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_PW0 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 1 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_MIN_CORNER_DMF_GENERATION 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 0 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_TIMING_CLOSURE_CORNERS 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORT_UIB 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 U2B2_SUPPORT_NOT_READY 0 USES_ACV_FOR_FLED 0 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 0 USES_DETAILED_REDTAX_WITH_DSPF_ROUTING_MODELS 0 USES_DEV 1 USES_DSPF_ROUTING_MODELS 0 USES_DSP_FROM_PREVIOUS_FAMILY 0 USES_ESTIMATED_TIMING 0 USES_EXTRACTION_CORNERS_WITH_DSPF_ROUTING_MODELS 0 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 1 USES_PARASITIC_LOADS_WITH_DSPF_ROUTING_MODELS 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 1 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 1 USES_RAM_FROM_PREVIOUS_FAMILY 0 USES_SECOND_GENERATION_PART_INFO 1 USES_SECOND_GENERATION_POWER_ANALYZER 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_TIMING_ROUTING_DELAYS 0 USES_U2B2_TIMING_MODELS 1 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 0 USE_ADVANCED_IO_TIMING_BY_DEFAULT 0 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SDM_CONFIGURATION 0 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 0 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WORKS_AROUND_MISSING_RED_FLAGS_IN_DSPF_ROUTING_MODELS 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_DEVICE</spirit:name>
+          <spirit:displayName>Auto DEVICE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_DEVICE_SPEEDGRADE</spirit:name>
+          <spirit:displayName>Auto DEVICE_SPEEDGRADE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE_SPEEDGRADE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_CLK_CLOCK_DOMAIN</spirit:name>
+          <spirit:displayName>Auto CLOCK_DOMAIN</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="AUTO_CLK_CLOCK_DOMAIN">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_CLK_RESET_DOMAIN</spirit:name>
+          <spirit:displayName>Auto RESET_DOMAIN</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="AUTO_CLK_RESET_DOMAIN">1</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_assignments>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>debug.hostConnection</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="debug.hostConnection">type jtag id 70:34|110:135</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.BIG_ENDIAN</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BIG_ENDIAN">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.BREAK_ADDR</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BREAK_ADDR">0x00003820</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_ARCH_NIOS2_R1</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ARCH_NIOS2_R1"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_FREQ</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_FREQ">100000000u</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_ID_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ID_SIZE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_ID_VALUE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ID_VALUE">0x00000000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.CPU_IMPLEMENTATION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_IMPLEMENTATION">"tiny"</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DATA_ADDR_WIDTH</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DATA_ADDR_WIDTH">24</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DCACHE_LINE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_LINE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.DCACHE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.EXCEPTION_ADDR</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.EXCEPTION_ADDR">0x00020020</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINES</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLASH_ACCELERATOR_LINES">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.FLUSHDA_SUPPORTED</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLUSHDA_SUPPORTED"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_MULX_PRESENT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_DEBUG_CORE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_DEBUG_CORE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_DEBUG_STUB</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_DEBUG_STUB"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_JMPI_INSTRUCTION"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.ICACHE_LINE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_LINE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.ICACHE_SIZE</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_SIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.INST_ADDR_WIDTH</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.INST_ADDR_WIDTH">18</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.OCI_VERSION</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.OCI_VERSION">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.CMacro.RESET_ADDR</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.RESET_ADDR">0x00020000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.DataCacheVictimBufImpl</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.DataCacheVictimBufImpl">ram</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.HDLSimCachesCleared</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.HDLSimCachesCleared">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.breakOffset</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.breakOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.breakSlave</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.breakSlave">cpu0.debug_mem_slave</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.cpuArchitecture</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.cpuArchitecture">Nios II</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.exceptionOffset</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.exceptionOffset">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.exceptionSlave</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.exceptionSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.resetOffset</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.resetOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.configuration.resetSlave</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.resetSlave">onchip_memory2_0.s1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.compatible</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.compatible">altr,nios2-1.1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.group</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.group">cpu</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.name</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.name">nios2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.altr,exception-addr</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,exception-addr">0x00020020</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.altr,implementation</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,implementation">"tiny"</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.altr,reset-addr</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,reset-addr">0x00020000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.clock-frequency</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.clock-frequency">100000000u</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.dcache-line-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.dcache-line-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.dcache-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.dcache-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.icache-line-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.icache-line-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.params.icache-size</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.icache-size">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.vendor</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.vendor">altr</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_assignments>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>custom_instruction_master</name>
+            <type>nios_custom_instruction</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>dummy_ci_port</name>
+                    <role>readra</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>CIName</key>
+                        <value></value>
+                    </entry>
+                    <entry>
+                        <key>addressWidth</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>clockCycle</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>enabled</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>opcodeExtension</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>sharedCombinationalAndMulticycle</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>data_master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>d_address</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>24</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>d_byteenable</name>
+                    <role>byteenable</role>
+                    <direction>Output</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>d_read</name>
+                    <role>read</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>d_readdata</name>
+                    <role>readdata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>d_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>d_write</name>
+                    <role>write</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>d_writedata</name>
+                    <role>writedata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_debugaccess_to_roms</name>
+                    <role>debugaccess</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>debug.providesServices</key>
+                        <value>master</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>debug_mem_slave</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>debug_mem_slave_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>9</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_byteenable</name>
+                    <role>byteenable</role>
+                    <direction>Input</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_debugaccess</name>
+                    <role>debugaccess</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>debug_mem_slave_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.hideDevice</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>qsys.ui.connect</key>
+                        <value>instruction_master,data_master</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>2048</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>debug_reset_request</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>debug_reset_request</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>none</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>instruction_master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>i_address</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>18</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>i_read</name>
+                    <role>read</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>i_readdata</name>
+                    <role>readdata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>i_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>irq</name>
+            <type>interrupt</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>irq</name>
+                    <role>irq</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedAddressablePoint</key>
+                        <value>qsys_lofar2_unb2b_adc_nios2_gen2_1.data_master</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>irqMap</key>
+                    </entry>
+                    <entry>
+                        <key>irqScheme</key>
+                        <value>INDIVIDUAL_REQUESTS</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset_n</name>
+                    <role>reset_n</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>reset_req</name>
+                    <role>reset_req</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>clk</key>
+            <value>
+                <connectionPointName>clk</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_DOMAIN</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                    <entry>
+                        <key>RESET_DOMAIN</key>
+                        <value>1</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>custom_instruction_master</key>
+            <value>
+                <connectionPointName>custom_instruction_master</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CUSTOM_INSTRUCTION_SLAVES</key>
+                        <value></value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>data_master</key>
+            <value>
+                <connectionPointName>data_master</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x200' end='0x300' datawidth='32' /&gt;&lt;slave name='reg_unb_pmbus.mem' start='0x300' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x3040' end='0x3060' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x3060' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x3080' end='0x30A0' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x30A0' end='0x30C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source.mem' start='0x30C0' end='0x30D0' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x30D0' end='0x30D8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x30D8' end='0x30E0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x30E0' end='0x30E8' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x30E8' end='0x30F0' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x30F0' end='0x30F8' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x30F8' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_unb_sens.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x3200' end='0x3208' datawidth='32' /&gt;&lt;slave name='cpu0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='ram_aduh_monitor.mem' start='0x8000' end='0xC000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0xC000' end='0x10000' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0x10000' end='0x20000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x40000' end='0x41000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>24</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>debug_mem_slave</key>
+            <value>
+                <connectionPointName>debug_mem_slave</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='debug_mem_slave' start='0x0' end='0x800' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>11</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>instruction_master</key>
+            <value>
+                <connectionPointName>instruction_master</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='cpu0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>18</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+        <entry>
+            <key>irq</key>
+            <value>
+                <connectionPointName>irq</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>INTERRUPTS_USED</key>
+                        <value>7</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="clk" altera:internal="nios2_gen2_1.clk" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="custom_instruction_master" altera:internal="nios2_gen2_1.custom_instruction_master" altera:type="nios_custom_instruction" altera:dir="start">
+        <altera:port_mapping altera:name="dummy_ci_port" altera:internal="dummy_ci_port"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="data_master" altera:internal="nios2_gen2_1.data_master" altera:type="avalon" altera:dir="start">
+        <altera:port_mapping altera:name="d_address" altera:internal="d_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_byteenable" altera:internal="d_byteenable"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_read" altera:internal="d_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_readdata" altera:internal="d_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_waitrequest" altera:internal="d_waitrequest"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_write" altera:internal="d_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="d_writedata" altera:internal="d_writedata"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_debugaccess_to_roms" altera:internal="debug_mem_slave_debugaccess_to_roms"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="debug_mem_slave" altera:internal="nios2_gen2_1.debug_mem_slave" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="debug_mem_slave_address" altera:internal="debug_mem_slave_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_byteenable" altera:internal="debug_mem_slave_byteenable"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_debugaccess" altera:internal="debug_mem_slave_debugaccess"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_read" altera:internal="debug_mem_slave_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_readdata" altera:internal="debug_mem_slave_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_waitrequest" altera:internal="debug_mem_slave_waitrequest"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_write" altera:internal="debug_mem_slave_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="debug_mem_slave_writedata" altera:internal="debug_mem_slave_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="debug_reset_request" altera:internal="nios2_gen2_1.debug_reset_request" altera:type="reset" altera:dir="start">
+        <altera:port_mapping altera:name="debug_reset_request" altera:internal="debug_reset_request"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="instruction_master" altera:internal="nios2_gen2_1.instruction_master" altera:type="avalon" altera:dir="start">
+        <altera:port_mapping altera:name="i_address" altera:internal="i_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="i_read" altera:internal="i_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="i_readdata" altera:internal="i_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="i_waitrequest" altera:internal="i_waitrequest"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="irq" altera:internal="nios2_gen2_1.irq" altera:type="interrupt" altera:dir="start">
+        <altera:port_mapping altera:name="irq" altera:internal="irq"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="nios2_gen2_1.reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="reset_n" altera:internal="reset_n"></altera:port_mapping>
+        <altera:port_mapping altera:name="reset_req" altera:internal="reset_req"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_pps.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_pps.ip
index 1d2e8bf5dad59d5d29d0720764db4458522ea73e..cbbbc93f2a281500132224aa7ef535fb4f2f5108 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_pps.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_pps.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_pio_pps</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_pio_pps</spirit:library>
   <spirit:name>pio_pps</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -766,7 +766,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_pio_pps</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_pio_pps</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_wdi.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_wdi.ip
index b6c98aaa6799fb7df90c035819a393fa46f0ac9c..7d38b1cb34d286b0ae6d2b49af9fba7ea6ab14ab 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_wdi.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_wdi.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>Intel Corporation</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_pio_wdi</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_pio_wdi</spirit:library>
   <spirit:name>pio_wdi</spirit:name>
   <spirit:version>18.0</spirit:version>
   <spirit:busInterfaces>
@@ -498,7 +498,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>Intel Corporation</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_pio_wdi</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_pio_wdi</spirit:library>
       <spirit:name>altera_avalon_pio</spirit:name>
       <spirit:version>18.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_aduh_monitor.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_aduh_monitor.ip
index 13dd14c5898df3b8cd453c0ca9ee28c570398a88..f1726ec018c9f054fca1e1584b767d0b1956c34d 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_aduh_monitor.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_aduh_monitor.ip
@@ -1,1535 +1,1447 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_ram_aduh_monitor</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_ram_wg</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>16384</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_ram_aduh_monitor</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_ram_aduh_monitor</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">16384</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_ram_aduh_monitor</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_ram_aduh_monitor</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>12</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_ram_wg
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;16384&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;14&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>12</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>16384</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>14</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_aduh_monitor.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buf_bsn.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buf_bsn.ip
new file mode 100644
index 0000000000000000000000000000000000000000..64bba120aebc09983f53f56974169e55b042879f
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buf_bsn.ip
@@ -0,0 +1,1447 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>13</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>13</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>14</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>14</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>65536</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>16</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_bsn.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buf_jesd.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buf_jesd.ip
new file mode 100644
index 0000000000000000000000000000000000000000..7c0d9dab9e2f933d7fbfe87dc79f8679e946c536
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buf_jesd.ip
@@ -0,0 +1,1447 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">8192</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>10</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>10</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">11</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>11</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>11</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>8192</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x2000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>13</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_diag_data_buf_jesd.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.ip
deleted file mode 100644
index a5e46ab46028fc70395566fd59141e38610dc286..0000000000000000000000000000000000000000
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.ip
+++ /dev/null
@@ -1,1535 +0,0 @@
-<?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>8388608</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>20</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>20</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
-    <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
-    </altera:entity_info>
-    <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>21</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_module_parameters>
-    <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
-{
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn
-   {
-   }
-}
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;21&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;8388608&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;21&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x800000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;23&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_system_parameters>
-    <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.address" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.clk" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.mem" altera:type="avalon" altera:dir="end">
-        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.read" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.readdata" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.reset" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.system" altera:type="clock" altera:dir="end">
-        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.system_reset" altera:type="reset" altera:dir="end">
-        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.write" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.writedata" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
-      </altera:interface_mapping>
-    </altera:altera_interface_boundary>
-    <altera:altera_has_warnings>false</altera:altera_has_warnings>
-    <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_wg.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_wg.ip
index 41d9dc2113e5e244c8f407ff91762ee34f24b908..f873e77b469fb5cdf927886ed3a4b8835135c0e4 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_wg.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_wg.ip
@@ -1,1535 +1,1447 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_ram_wg</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_ram_wg</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>65536</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_ram_wg</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_ram_wg</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>13</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>13</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>13</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>13</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_ram_wg</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_ram_wg</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>14</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_ram_wg
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;14&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;65536&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;14&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x10000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;16&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>14</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>14</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>65536</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>16</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_aduh_monitor.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_aduh_monitor.ip
index fe170758eae9f13fb9653add6e6c0669a8d1ca27..c7038833d37de5d767bb9014fb40dce588ab1541 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_aduh_monitor.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_aduh_monitor.ip
@@ -1,1535 +1,1447 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_reg_aduh_monitor</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_ram_wg</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_aduh_monitor</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_reg_aduh_monitor</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>5</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>5</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>5</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>5</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_reg_aduh_monitor</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_aduh_monitor</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_ram_wg
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;6&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;256&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;6&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>6</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>6</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>256</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_ram_wg.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.ip
index d05336eb146441f2506b5e128dc5e5afeb24e775..e3c887257e208f0f7b39f774a1e27c289ae6e814 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.ip
@@ -1,1535 +1,1447 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_reg_bsn_monitor_input</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_reg_bsn_monitor_input</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>1024</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_bsn_monitor_input</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">1024</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>7</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>7</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>7</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>7</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_reg_bsn_monitor_input</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_bsn_monitor_input</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_reg_bsn_monitor_input
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;1024&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x400' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;10&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>8</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>8</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>1024</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>10</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_scheduler.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_scheduler.ip
index 068d24058254482a0edfc378a6189bebc1f3d217..4383fd7adba72a6e2fc36b1b3e95e512001a170d 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_scheduler.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_scheduler.ip
@@ -1,1525 +1,1439 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_reg_bsn_scheduler</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_reg_bsn_scheduler</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_bsn_scheduler</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_reg_bsn_scheduler</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_bsn_scheduler</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_reg_bsn_scheduler
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;3&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>3</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_scheduler.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_source.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_source.ip
index eff2d436dd0eea413932dec84821ef107d3759c0..f0682dfee0b64e2c97598935861f961c62b8ffad 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_source.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_source.ip
@@ -1,1535 +1,1447 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_reg_bsn_source</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_reg_bsn_source</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>16</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_bsn_source</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_reg_bsn_source</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">16</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>1</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>1</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>1</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>1</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_reg_bsn_source</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_bsn_source</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_reg_bsn_source
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;2&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;16&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;2&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x10' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;4&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>2</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>2</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>16</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>4</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_reg_bsn_source.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_source.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buf_bsn.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buf_bsn.ip
new file mode 100644
index 0000000000000000000000000000000000000000..25f62c95923e0d32c3e87df787ae0ccae7a62410
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buf_bsn.ip
@@ -0,0 +1,1447 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">128</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>4</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>4</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">5</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>5</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>5</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>128</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>7</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_bsn.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buf_jesd.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buf_jesd.ip
new file mode 100644
index 0000000000000000000000000000000000000000..d55385d072570b423449b4133c8c6787cbf9ba83
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buf_jesd.ip
@@ -0,0 +1,1447 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">16</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>1</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>1</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>2</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>2</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>16</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>4</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_diag_data_buf_jesd.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn.ip
deleted file mode 100644
index b2220e0d2e6e3deb141c064bb81207fd3123cbf6..0000000000000000000000000000000000000000
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn.ip
+++ /dev/null
@@ -1,1535 +0,0 @@
-<?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>16384</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
-    <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
-    </altera:entity_info>
-    <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>12</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_module_parameters>
-    <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
-{
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd
-   {
-   }
-}
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;16384&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;14&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_system_parameters>
-    <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.address" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.clk" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.mem" altera:type="avalon" altera:dir="end">
-        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.read" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.readdata" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.reset" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.system" altera:type="clock" altera:dir="end">
-        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.system_reset" altera:type="reset" altera:dir="end">
-        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.write" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_reg_diag_data_buffer_jesd.writedata" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
-      </altera:interface_mapping>
-    </altera:altera_interface_boundary>
-    <altera:altera_has_warnings>false</altera:altera_has_warnings>
-    <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_selector.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_selector.ip
new file mode 100644
index 0000000000000000000000000000000000000000..3eba8119a828b13c55616d90e02968d29379026c
--- /dev/null
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_selector.ip
@@ -0,0 +1,1439 @@
+<?xml version="1.0" ?>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_filterbank_reg_dp_selector</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_reg_dp_selector</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <altera:altera_assignments>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
+        </altera:altera_assignments>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
+    <altera:entity_info>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_filterbank_reg_dp_selector</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
+{
+}
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>3</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_shiftram.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_shiftram.ip
index 9515fa078f02da6f6e25e1e86ee177f880bac698..0e6b69a79e0c6ba2e7bdd070b72ca2ccb3c858cd 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_shiftram.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_shiftram.ip
@@ -1,1535 +1,1447 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_reg_dp_shiftram</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_reg_dp_shiftram</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_dp_shiftram</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_reg_dp_shiftram</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>2</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>2</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>2</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>2</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_reg_dp_shiftram</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_dp_shiftram</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>3</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_reg_dp_shiftram
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;3&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;3&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;5&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>3</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>3</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>5</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_reg_dp_shiftram.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_ctrl.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_ctrl.ip
index eaa2adcc8488d8a80c26c9990d6901d193f7c749..c6d535968ac95eb88efa8df9471e52c4ff616de6 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_ctrl.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_ctrl.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_dpmm_ctrl</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_dpmm_ctrl</spirit:library>
   <spirit:name>reg_dpmm_ctrl</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -766,7 +766,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_dpmm_ctrl</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_dpmm_ctrl</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_data.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_data.ip
index 564b626b4013fe44dee45248c8f7f743b7419c61..b3902bad51be0554ed53abc585c11e60c30dcd55 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_data.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_data.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_dpmm_data</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_dpmm_data</spirit:library>
   <spirit:name>reg_dpmm_data</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -766,7 +766,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_dpmm_data</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_dpmm_data</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_epcs.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_epcs.ip
index b07b1b402e172532483dc1ef8d9d09c7095eef71..bf56c83040830f7dd551de474222abe4df68b7d0 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_epcs.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_epcs.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_epcs</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_epcs</spirit:library>
   <spirit:name>reg_epcs</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -774,7 +774,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_epcs</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_epcs</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_temp_sens.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_temp_sens.ip
index 9efe5c57caeabcbdbfb92af45d701d3dc187068f..b22888c3d3536659f2edcb8567757d9116ca8847 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_temp_sens.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_temp_sens.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_fpga_temp_sens</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_fpga_temp_sens</spirit:library>
   <spirit:name>reg_fpga_temp_sens</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -774,7 +774,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_fpga_temp_sens</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_fpga_temp_sens</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens.ip
index 4d652f96ceccd7fdbd240e65b5f0ee806000a463..146296c84828a1c2b843f5320b77b2ebb3c427f9 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_fpga_voltage_sens</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens</spirit:library>
   <spirit:name>reg_fpga_voltage_sens</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -774,7 +774,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_fpga_voltage_sens</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_ctrl.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_ctrl.ip
index 4fff1367f07a2f1261f8e62c4069470bd930e1f2..a4a7b42f2eab2a7fb55dbeaaded513d99e5ce03a 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_ctrl.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_ctrl.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_mmdp_ctrl</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_mmdp_ctrl</spirit:library>
   <spirit:name>reg_mmdp_ctrl</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -766,7 +766,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_mmdp_ctrl</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_mmdp_ctrl</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_data.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_data.ip
index 450ee4447b7ade031675181089797226ea80e01b..a63b5eb592e9c0c385d11389aba56a31e220a721 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_data.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_data.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_mmdp_data</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_mmdp_data</spirit:library>
   <spirit:name>reg_mmdp_data</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -766,7 +766,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_mmdp_data</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_mmdp_data</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_remu.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_remu.ip
index 6f360cba7bd7b3657e0d7d1d5428aa2042ceae7c..803e10a98e1fea059d4e24257917bda17dd22102 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_remu.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_remu.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_remu</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_remu</spirit:library>
   <spirit:name>reg_remu</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -774,7 +774,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_remu</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_remu</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_pmbus.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_pmbus.ip
index b4758115354d88a81255e5a80f01d6eee34f0c5f..8559c64b3e4d4505f105057a9b0d7aad4ed9d57f 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_pmbus.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_pmbus.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_unb_pmbus</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_unb_pmbus</spirit:library>
   <spirit:name>reg_unb_pmbus</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -774,7 +774,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_unb_pmbus</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_unb_pmbus</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_sens.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_sens.ip
index 8494572d5c37c2482118d8e7fe5f926f304d7e21..75277447511316be25475d05de910e0d2f54899d 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_sens.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_sens.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>ASTRON</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_reg_unb_sens</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_unb_sens</spirit:library>
   <spirit:name>reg_unb_sens</spirit:name>
   <spirit:version>1.0</spirit:version>
   <spirit:busInterfaces>
@@ -774,7 +774,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>ASTRON</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_reg_unb_sens</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_unb_sens</spirit:library>
       <spirit:name>avs_common_mm</spirit:name>
       <spirit:version>1.0</spirit:version>
     </altera:entity_info>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wg.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wg.ip
index b1f9a8d101879e73dfe32f88f7aa2ebbe0f84aa3..68623736eb299d1a3336d9fe61bad5400de5b75a 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wg.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wg.ip
@@ -1,1535 +1,1447 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_lofar2_unb2b_adc_reg_wg</ipxact:library>
-  <ipxact:name>qsys_lofar2_unb2b_adc_reg_wg_1</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>ASTRON</spirit:vendor>
+  <spirit:library>qsys_lofar2_unb2b_adc_reg_wg</spirit:library>
+  <spirit:name>qsys_lofar2_unb2b_filterbank_reg_wg</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>address</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_address_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_clk_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>mem</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>avs_mem_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>5</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>5</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>read</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_read_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>readdata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_readdata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_reset_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>system_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csi_system_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>write</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_write_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>writedata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>coe_writedata_export</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>avs_common_mm</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>csi_system_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csi_system_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>5</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>avs_mem_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_reset_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_clk_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_address_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>5</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_write_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_writedata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_read_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>coe_readdata_export</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_lofar2_unb2b_adc_reg_wg</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
+      <spirit:vendor>ASTRON</spirit:vendor>
+      <spirit:library>qsys_lofar2_unb2b_adc_reg_wg</spirit:library>
+      <spirit:name>avs_common_mm</spirit:name>
+      <spirit:version>1.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>g_adr_w</spirit:name>
+          <spirit:displayName>g_adr_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_adr_w">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>g_dat_w</spirit:name>
+          <spirit:displayName>g_dat_w</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name>
+          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_lofar2_unb2b_adc_reg_wg_1
-   {
-   }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;6&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;256&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;6&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>address</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_address_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>6</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_clk_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>mem</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>avs_mem_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>6</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>avs_mem_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>256</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>system_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>read</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_read_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>readdata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_readdata_export</name>
+                    <role>export</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_reset_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>system_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csi_system_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>system</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>write</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_write_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>writedata</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>coe_writedata_export</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>mem</key>
+            <value>
+                <connectionPointName>mem</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>system</key>
+            <value>
+                <connectionPointName>system</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_adc_reg_wg_1.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_timer_0.ip b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_timer_0.ip
index 1b867a0f5823e0af3b30bb17b25f2de51a3e5177..257d3ac162a602396732c753a07d2a747afc9473 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_timer_0.ip
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_timer_0.ip
@@ -1,7 +1,7 @@
 <?xml version="1.0" ?>
 <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
   <spirit:vendor>Intel Corporation</spirit:vendor>
-  <spirit:library>qsys_unb2c_minimal_timer_0</spirit:library>
+  <spirit:library>qsys_lofar2_unb2b_adc_timer_0</spirit:library>
   <spirit:name>timer_0</spirit:name>
   <spirit:version>18.0</spirit:version>
   <spirit:busInterfaces>
@@ -55,7 +55,7 @@
         <spirit:parameter>
           <spirit:name>associatedAddressablePoint</spirit:name>
           <spirit:displayName>Associated addressable interface</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_unb2c_minimal_timer_0.s1</spirit:value>
+          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_lofar2_unb2b_adc_timer_0.s1</spirit:value>
         </spirit:parameter>
         <spirit:parameter>
           <spirit:name>associatedClock</spirit:name>
@@ -517,7 +517,7 @@
   <spirit:vendorExtensions>
     <altera:entity_info>
       <spirit:vendor>Intel Corporation</spirit:vendor>
-      <spirit:library>qsys_unb2c_minimal_timer_0</spirit:library>
+      <spirit:library>qsys_lofar2_unb2b_adc_timer_0</spirit:library>
       <spirit:name>altera_avalon_timer</spirit:name>
       <spirit:version>18.0</spirit:version>
     </altera:entity_info>
@@ -768,7 +768,7 @@
                 <parameterValueMap>
                     <entry>
                         <key>associatedAddressablePoint</key>
-                        <value>qsys_unb2c_minimal_timer_0.s1</value>
+                        <value>qsys_lofar2_unb2b_adc_timer_0.s1</value>
                     </entry>
                     <entry>
                         <key>associatedClock</key>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/qsys_lofar2_unb2b_adc.qsys b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/qsys_lofar2_unb2b_adc.qsys
index 3e1b57d221efa3871a4131b3537aaa52652c1d71..5a371bd905bc4de4bf542d596ba0269be3f7a01e 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/quartus/qsys_lofar2_unb2b_adc.qsys
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/quartus/qsys_lofar2_unb2b_adc.qsys
@@ -50,7 +50,7 @@
          type = "int";
       }
    }
-   element cpu_0
+   element cpu0
    {
       datum _sortIndex
       {
@@ -58,7 +58,7 @@
          type = "int";
       }
    }
-   element cpu_0.debug_mem_slave
+   element cpu0.debug_mem_slave
    {
       datum baseAddress
       {
@@ -70,7 +70,7 @@
    {
       datum _sortIndex
       {
-         value = "22";
+         value = "21";
          type = "int";
       }
    }
@@ -131,7 +131,7 @@
    {
       datum _sortIndex
       {
-         value = "13";
+         value = "30";
          type = "int";
       }
    }
@@ -205,7 +205,7 @@
    {
       datum _sortIndex
       {
-         value = "32";
+         value = "29";
          type = "int";
       }
    }
@@ -213,7 +213,7 @@
    {
       datum baseAddress
       {
-         value = "16384";
+         value = "32768";
          type = "String";
       }
    }
@@ -221,7 +221,7 @@
    {
       datum _sortIndex
       {
-         value = "30";
+         value = "31";
          type = "int";
       }
    }
@@ -237,7 +237,7 @@
    {
       datum _sortIndex
       {
-         value = "28";
+         value = "27";
          type = "int";
       }
    }
@@ -253,7 +253,7 @@
    {
       datum _sortIndex
       {
-         value = "31";
+         value = "28";
          type = "int";
       }
    }
@@ -261,7 +261,7 @@
    {
       datum baseAddress
       {
-         value = "512";
+         value = "256";
          type = "String";
       }
    }
@@ -269,7 +269,7 @@
    {
       datum _sortIndex
       {
-         value = "23";
+         value = "22";
          type = "int";
       }
    }
@@ -285,7 +285,7 @@
    {
       datum _sortIndex
       {
-         value = "26";
+         value = "25";
          type = "int";
       }
    }
@@ -301,7 +301,7 @@
    {
       datum _sortIndex
       {
-         value = "25";
+         value = "24";
          type = "int";
       }
    }
@@ -317,7 +317,7 @@
    {
       datum _sortIndex
       {
-         value = "29";
+         value = "32";
          type = "int";
       }
    }
@@ -325,7 +325,7 @@
    {
       datum baseAddress
       {
-         value = "32768";
+         value = "16384";
          type = "String";
       }
    }
@@ -333,7 +333,7 @@
    {
       datum _sortIndex
       {
-         value = "27";
+         value = "26";
          type = "int";
       }
    }
@@ -349,7 +349,7 @@
    {
       datum _sortIndex
       {
-         value = "17";
+         value = "16";
          type = "int";
       }
       datum sopceditor_expanded
@@ -370,7 +370,7 @@
    {
       datum _sortIndex
       {
-         value = "18";
+         value = "17";
          type = "int";
       }
       datum sopceditor_expanded
@@ -391,7 +391,7 @@
    {
       datum _sortIndex
       {
-         value = "16";
+         value = "15";
          type = "int";
       }
       datum sopceditor_expanded
@@ -404,7 +404,7 @@
    {
       datum baseAddress
       {
-         value = "12416";
+         value = "12384";
          type = "String";
       }
    }
@@ -420,7 +420,7 @@
    {
       datum baseAddress
       {
-         value = "12384";
+         value = "12448";
          type = "String";
       }
    }
@@ -428,7 +428,7 @@
    {
       datum _sortIndex
       {
-         value = "21";
+         value = "20";
          type = "int";
       }
       datum sopceditor_expanded
@@ -449,7 +449,7 @@
    {
       datum _sortIndex
       {
-         value = "19";
+         value = "18";
          type = "int";
       }
       datum sopceditor_expanded
@@ -470,7 +470,7 @@
    {
       datum _sortIndex
       {
-         value = "20";
+         value = "19";
          type = "int";
       }
       datum sopceditor_expanded
@@ -491,7 +491,7 @@
    {
       datum _sortIndex
       {
-         value = "15";
+         value = "14";
          type = "int";
       }
       datum sopceditor_expanded
@@ -504,7 +504,7 @@
    {
       datum baseAddress
       {
-         value = "12448";
+         value = "12416";
          type = "String";
       }
    }
@@ -544,7 +544,7 @@
    {
       datum _sortIndex
       {
-         value = "14";
+         value = "13";
          type = "int";
       }
       datum sopceditor_expanded
@@ -570,7 +570,7 @@
    {
       datum _sortIndex
       {
-         value = "24";
+         value = "23";
          type = "int";
       }
    }
@@ -578,7 +578,7 @@
    {
       datum baseAddress
       {
-         value = "256";
+         value = "512";
          type = "String";
       }
    }
@@ -591,7 +591,7 @@
       }
       datum sopceditor_expanded
       {
-         value = "0";
+         value = "1";
          type = "boolean";
       }
    }
@@ -626,6 +626,7 @@
    }
 }
 ]]></parameter>
+ <parameter name="clockCrossingAdapter" value="HANDSHAKE" />
  <parameter name="device" value="10AX115U2F45E1SG" />
  <parameter name="deviceFamily" value="Arria 10" />
  <parameter name="deviceSpeedGrade" value="1" />
@@ -636,6 +637,7 @@
  <parameter name="hdlLanguage" value="VERILOG" />
  <parameter name="hideFromIPCatalog" value="false" />
  <parameter name="lockedInterfaceDefinition" value="" />
+ <parameter name="maxAdditionalLatency" value="0" />
  <parameter name="sopcBorderPoints" value="false" />
  <parameter name="systemHash" value="0" />
  <parameter name="systemInfos"><![CDATA[<systemInfosDefinition>
@@ -652,6 +654,18 @@
                 <consumedSystemInfos/>
             </value>
         </entry>
+        <entry>
+            <key>rom_system_info_clk</key>
+            <value>
+                <connectionPointName>rom_system_info_clk</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
     </connPtSystemInfos>
 </systemInfosDefinition>]]></parameter>
  <parameter name="systemScripts" value="" />
@@ -924,37 +938,37 @@
    type="conduit"
    dir="end" />
  <interface
-   name="ram_diag_data_buf_bsn_address"
+   name="ram_diag_data_buffer_bsn_address"
    internal="ram_diag_data_buffer_bsn.address"
    type="conduit"
    dir="end" />
  <interface
-   name="ram_diag_data_buf_bsn_clk"
+   name="ram_diag_data_buffer_bsn_clk"
    internal="ram_diag_data_buffer_bsn.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="ram_diag_data_buf_bsn_read"
+   name="ram_diag_data_buffer_bsn_read"
    internal="ram_diag_data_buffer_bsn.read"
    type="conduit"
    dir="end" />
  <interface
-   name="ram_diag_data_buf_bsn_readdata"
+   name="ram_diag_data_buffer_bsn_readdata"
    internal="ram_diag_data_buffer_bsn.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="ram_diag_data_buf_bsn_reset"
+   name="ram_diag_data_buffer_bsn_reset"
    internal="ram_diag_data_buffer_bsn.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="ram_diag_data_buf_bsn_write"
+   name="ram_diag_data_buffer_bsn_write"
    internal="ram_diag_data_buffer_bsn.write"
    type="conduit"
    dir="end" />
  <interface
-   name="ram_diag_data_buf_bsn_writedata"
+   name="ram_diag_data_buffer_bsn_writedata"
    internal="ram_diag_data_buffer_bsn.writedata"
    type="conduit"
    dir="end" />
@@ -1118,37 +1132,37 @@
    type="conduit"
    dir="end" />
  <interface
-   name="reg_diag_data_buf_bsn_address"
+   name="reg_diag_data_buffer_bsn_address"
    internal="reg_diag_data_buffer_bsn.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_diag_data_buf_bsn_clk"
+   name="reg_diag_data_buffer_bsn_clk"
    internal="reg_diag_data_buffer_bsn.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_diag_data_buf_bsn_read"
+   name="reg_diag_data_buffer_bsn_read"
    internal="reg_diag_data_buffer_bsn.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_diag_data_buf_bsn_readdata"
+   name="reg_diag_data_buffer_bsn_readdata"
    internal="reg_diag_data_buffer_bsn.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_diag_data_buf_bsn_reset"
+   name="reg_diag_data_buffer_bsn_reset"
    internal="reg_diag_data_buffer_bsn.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_diag_data_buf_bsn_write"
+   name="reg_diag_data_buffer_bsn_write"
    internal="reg_diag_data_buffer_bsn.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_diag_data_buf_bsn_writedata"
+   name="reg_diag_data_buffer_bsn_writedata"
    internal="reg_diag_data_buffer_bsn.writedata"
    type="conduit"
    dir="end" />
@@ -3123,1436 +3137,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>interrupt</name>
-            <type>interrupt</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>ins_interrupt_irq</name>
-                    <role>irq</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedAddressablePoint</key>
-                        <value>avs_eth_0.mms_reg</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>mm</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>mm_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedReceiverOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToReceiver</key>
-                    </entry>
-                    <entry>
-                        <key>irqScheme</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>irq</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_irq_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mm</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_mm_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mm_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_mm_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>mm</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mms_ram</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>mms_ram_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>10</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>mms_ram_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>mms_ram_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>mms_ram_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>mms_ram_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>4096</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>mm</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>mm_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>2</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mms_reg</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>mms_reg_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>mms_reg_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>mms_reg_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>mms_reg_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>mms_reg_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>64</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>mm</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>mm_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mms_tse</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>mms_tse_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>10</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>mms_tse_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>mms_tse_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>mms_tse_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>mms_tse_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>mms_tse_waitrequest</name>
-                    <role>waitrequest</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>4096</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>mm</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>mm_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>ram_address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_ram_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>10</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>ram_read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_ram_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>ram_readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_ram_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>ram_write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_ram_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>ram_writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_ram_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reg_address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reg_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reg_read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reg_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reg_readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reg_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reg_write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reg_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reg_writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reg_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>tse_address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_tse_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>10</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>tse_read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_tse_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>tse_readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_tse_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>tse_waitrequest</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_tse_waitrequest_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>tse_write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_tse_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>tse_writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_tse_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_avs_eth_0</hdlLibraryName>
     <fileSets>
@@ -4577,7 +3161,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_eth_0.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_eth_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -4789,160 +3373,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>clk_out</name>
-                    <role>clk</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedDirectClock</key>
-                        <value>clk_in</value>
-                    </entry>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>100000000</value>
-                    </entry>
-                    <entry>
-                        <key>clockRateKnown</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk_in</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>in_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>qsys.ui.export_name</key>
-                        <value>clk</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>100000000</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk_in_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>qsys.ui.export_name</key>
-                        <value>reset</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk_reset</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_n_out</name>
-                    <role>reset_n</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                        <value>clk_in_reset</value>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>clk_in_reset</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_clk_0</hdlLibraryName>
     <fileSets>
@@ -4967,17 +3397,13 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_clk_0.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_clk_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
- <module
-   name="cpu_0"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
+ <module name="cpu0" kind="altera_generic_component" version="1.0" enabled="1">
   <parameter name="componentDefinition"><![CDATA[<componentDefinition>
     <boundary>
         <interfaces>
@@ -5070,18 +3496,10 @@
                 <isStart>true</isStart>
                 <ports>
                     <port>
-                        <name>d_waitrequest</name>
-                        <role>waitrequest</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>d_byteenable</name>
-                        <role>byteenable</role>
+                        <name>d_address</name>
+                        <role>address</role>
                         <direction>Output</direction>
-                        <width>4</width>
+                        <width>24</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -5093,6 +3511,14 @@
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
                     </port>
+                    <port>
+                        <name>d_readdata</name>
+                        <role>readdata</role>
+                        <direction>Input</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    </port>
                     <port>
                         <name>d_write</name>
                         <role>write</role>
@@ -5102,26 +3528,26 @@
                         <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                     <port>
-                        <name>d_address</name>
-                        <role>address</role>
-                        <direction>Output</direction>
-                        <width>24</width>
+                        <name>d_waitrequest</name>
+                        <role>waitrequest</role>
+                        <direction>Input</direction>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                     <port>
-                        <name>d_readdata</name>
-                        <role>readdata</role>
-                        <direction>Input</direction>
+                        <name>d_writedata</name>
+                        <role>writedata</role>
+                        <direction>Output</direction>
                         <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                     <port>
-                        <name>d_writedata</name>
-                        <role>writedata</role>
+                        <name>d_byteenable</name>
+                        <role>byteenable</role>
                         <direction>Output</direction>
-                        <width>32</width>
+                        <width>4</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -5288,13 +3714,29 @@
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>debug_mem_slave_writedata</name>
-                        <role>writedata</role>
-                        <direction>Input</direction>
+                        <name>debug_mem_slave_waitrequest</name>
+                        <role>waitrequest</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                    </port>
+                    <port>
+                        <name>debug_mem_slave_readdata</name>
+                        <role>readdata</role>
+                        <direction>Output</direction>
                         <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
+                    <port>
+                        <name>debug_mem_slave_debugaccess</name>
+                        <role>debugaccess</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                    </port>
                     <port>
                         <name>debug_mem_slave_byteenable</name>
                         <role>byteenable</role>
@@ -5304,37 +3746,29 @@
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                     <port>
-                        <name>debug_mem_slave_address</name>
-                        <role>address</role>
+                        <name>debug_mem_slave_writedata</name>
+                        <role>writedata</role>
                         <direction>Input</direction>
-                        <width>9</width>
+                        <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                     <port>
-                        <name>debug_mem_slave_debugaccess</name>
-                        <role>debugaccess</role>
+                        <name>debug_mem_slave_write</name>
+                        <role>write</role>
                         <direction>Input</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                     <port>
-                        <name>debug_mem_slave_readdata</name>
-                        <role>readdata</role>
-                        <direction>Output</direction>
-                        <width>32</width>
+                        <name>debug_mem_slave_address</name>
+                        <role>address</role>
+                        <direction>Input</direction>
+                        <width>9</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
-                    <port>
-                        <name>debug_mem_slave_waitrequest</name>
-                        <role>waitrequest</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
                     <port>
                         <name>debug_mem_slave_read</name>
                         <role>read</role>
@@ -5343,14 +3777,6 @@
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
                     </port>
-                    <port>
-                        <name>debug_mem_slave_write</name>
-                        <role>write</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
                 </ports>
                 <assignments>
                     <assignmentValueMap>
@@ -5591,20 +4017,12 @@
                 <isStart>true</isStart>
                 <ports>
                     <port>
-                        <name>i_readdata</name>
-                        <role>readdata</role>
+                        <name>i_waitrequest</name>
+                        <role>waitrequest</role>
                         <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>i_address</name>
-                        <role>address</role>
-                        <direction>Output</direction>
-                        <width>18</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                     <port>
                         <name>i_read</name>
@@ -5615,12 +4033,20 @@
                         <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                     <port>
-                        <name>i_waitrequest</name>
-                        <role>waitrequest</role>
+                        <name>i_address</name>
+                        <role>address</role>
+                        <direction>Output</direction>
+                        <width>18</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    </port>
+                    <port>
+                        <name>i_readdata</name>
+                        <role>readdata</role>
                         <direction>Input</direction>
-                        <width>1</width>
+                        <width>32</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -5787,7 +4213,7 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedAddressablePoint</key>
-                            <value>cpu_0.data_master</value>
+                            <value>cpu0.data_master</value>
                         </entry>
                         <entry>
                             <key>associatedClock</key>
@@ -5849,7 +4275,7 @@
     </boundary>
     <originalModuleInfo>
         <className>altera_nios2_gen2</className>
-        <version>19.1</version>
+        <version>18.0</version>
         <displayName>Nios II Processor</displayName>
     </originalModuleInfo>
     <systemInfoParameterDescriptors>
@@ -6162,7 +4588,7 @@
                     <consumedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x200' end='0x300' datawidth='32' /&gt;&lt;slave name='reg_unb_pmbus.mem' start='0x300' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x3040' end='0x3060' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x3060' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x3080' end='0x30A0' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x30A0' end='0x30C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source.mem' start='0x30C0' end='0x30D0' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x30D0' end='0x30D8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x30D8' end='0x30E0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x30E0' end='0x30E8' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x30E8' end='0x30F0' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x30F0' end='0x30F8' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x30F8' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_unb_sens.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x3200' end='0x3208' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='ram_aduh_monitor.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x8000' end='0xC000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0xC000' end='0x10000' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0x10000' end='0x20000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x40000' end='0x41000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x200' end='0x300' datawidth='32' /&gt;&lt;slave name='reg_unb_pmbus.mem' start='0x300' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x3040' end='0x3060' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x3060' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x3080' end='0x30A0' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x30A0' end='0x30C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source.mem' start='0x30C0' end='0x30D0' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x30D0' end='0x30D8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x30D8' end='0x30E0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x30E0' end='0x30E8' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x30E8' end='0x30F0' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x30F0' end='0x30F8' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x30F8' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_unb_sens.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x3200' end='0x3208' datawidth='32' /&gt;&lt;slave name='cpu0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='ram_aduh_monitor.mem' start='0x8000' end='0xC000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0xC000' end='0x10000' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0x10000' end='0x20000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x40000' end='0x41000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
@@ -6200,7 +4626,7 @@
                     <consumedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='cpu0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
@@ -6225,899 +4651,31 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>custom_instruction_master</name>
-            <type>nios_custom_instruction</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>dummy_ci_port</name>
-                    <role>readra</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>CIName</key>
-                        <value></value>
-                    </entry>
-                    <entry>
-                        <key>addressWidth</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>clockCycle</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>enabled</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maxAddressWidth</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>opcodeExtension</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>sharedCombinationalAndMulticycle</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>data_master</name>
-            <type>avalon</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>d_waitrequest</name>
-                    <role>waitrequest</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>d_byteenable</name>
-                    <role>byteenable</role>
-                    <direction>Output</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>d_read</name>
-                    <role>read</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>d_write</name>
-                    <role>write</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>d_address</name>
-                    <role>address</role>
-                    <direction>Output</direction>
-                    <width>24</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>d_readdata</name>
-                    <role>readdata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>d_writedata</name>
-                    <role>writedata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>debug_mem_slave_debugaccess_to_roms</name>
-                    <role>debugaccess</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>debug.providesServices</key>
-                        <value>master</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>adaptsTo</key>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>SYMBOLS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>dBSBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>doStreamReads</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>doStreamWrites</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isAsynchronous</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isReadable</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isWriteable</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maxAddressWidth</key>
-                        <value>32</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>debug_mem_slave</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>debug_mem_slave_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>debug_mem_slave_byteenable</name>
-                    <role>byteenable</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>debug_mem_slave_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>9</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>debug_mem_slave_debugaccess</name>
-                    <role>debugaccess</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>debug_mem_slave_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>debug_mem_slave_waitrequest</name>
-                    <role>waitrequest</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>debug_mem_slave_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>debug_mem_slave_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.hideDevice</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>qsys.ui.connect</key>
-                        <value>instruction_master,data_master</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>2048</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>debug_reset_request</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>debug_reset_request</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>none</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>instruction_master</name>
-            <type>avalon</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>i_readdata</name>
-                    <role>readdata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>i_address</name>
-                    <role>address</role>
-                    <direction>Output</direction>
-                    <width>18</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>i_read</name>
-                    <role>read</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>i_waitrequest</name>
-                    <role>waitrequest</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>adaptsTo</key>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>SYMBOLS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>dBSBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>doStreamReads</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>doStreamWrites</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isAsynchronous</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isReadable</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isWriteable</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>maxAddressWidth</key>
-                        <value>32</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>irq</name>
-            <type>interrupt</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>irq</name>
-                    <role>irq</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedAddressablePoint</key>
-                        <value>cpu_0.data_master</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>irqMap</key>
-                    </entry>
-                    <entry>
-                        <key>irqScheme</key>
-                        <value>INDIVIDUAL_REQUESTS</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>reset_req</name>
-                    <role>reset_req</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_lofar2_unb2b_adc_cpu_0</hdlLibraryName>
+    <hdlLibraryName>qsys_lofar2_unb2b_adc_nios2_gen2_1</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_lofar2_unb2b_adc_cpu_0</fileSetName>
-            <fileSetFixedName>qsys_lofar2_unb2b_adc_cpu_0</fileSetFixedName>
+            <fileSetName>qsys_lofar2_unb2b_adc_nios2_gen2_1</fileSetName>
+            <fileSetFixedName>qsys_lofar2_unb2b_adc_nios2_gen2_1</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_lofar2_unb2b_adc_cpu_0</fileSetName>
-            <fileSetFixedName>qsys_lofar2_unb2b_adc_cpu_0</fileSetFixedName>
+            <fileSetName>qsys_lofar2_unb2b_adc_nios2_gen2_1</fileSetName>
+            <fileSetFixedName>qsys_lofar2_unb2b_adc_nios2_gen2_1</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_lofar2_unb2b_adc_cpu_0</fileSetName>
-            <fileSetFixedName>qsys_lofar2_unb2b_adc_cpu_0</fileSetFixedName>
+            <fileSetName>qsys_lofar2_unb2b_adc_nios2_gen2_1</fileSetName>
+            <fileSetFixedName>qsys_lofar2_unb2b_adc_nios2_gen2_1</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_cpu_0.ip</parameter>
+  <parameter name="logicalView">ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_nios2_gen2_1.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap>
         <entry>
@@ -7250,7 +4808,7 @@
         </entry>
         <entry>
             <key>embeddedsw.configuration.breakSlave</key>
-            <value>cpu_0.debug_mem_slave</value>
+            <value>cpu0.debug_mem_slave</value>
         </entry>
         <entry>
             <key>embeddedsw.configuration.cpuArchitecture</key>
@@ -7401,14 +4959,6 @@
                 <type>avalon</type>
                 <isStart>false</isStart>
                 <ports>
-                    <port>
-                        <name>avs_mem_read</name>
-                        <role>read</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
                     <port>
                         <name>avs_mem_address</name>
                         <role>address</role>
@@ -7417,14 +4967,6 @@
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
-                    <port>
-                        <name>avs_mem_readdata</name>
-                        <role>readdata</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
                     <port>
                         <name>avs_mem_write</name>
                         <role>write</role>
@@ -7441,6 +4983,22 @@
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
+                    <port>
+                        <name>avs_mem_read</name>
+                        <role>read</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                    </port>
+                    <port>
+                        <name>avs_mem_readdata</name>
+                        <role>readdata</role>
+                        <direction>Output</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    </port>
                 </ports>
                 <assignments>
                     <assignmentValueMap>
@@ -7910,531 +5468,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>16384</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_jesd204b</hdlLibraryName>
     <fileSets>
@@ -8459,7 +5492,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jesd204b.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jesd204b.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -8932,7 +5965,7 @@
     </boundary>
     <originalModuleInfo>
         <className>altera_avalon_jtag_uart</className>
-        <version>19.1.0</version>
+        <version>18.0</version>
         <displayName>JTAG UART Intel FPGA IP</displayName>
     </originalModuleInfo>
     <systemInfoParameterDescriptors>
@@ -8991,465 +6024,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>avalon_jtag_slave</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>av_chipselect</name>
-                    <role>chipselect</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>av_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>av_read_n</name>
-                    <role>read_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>av_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>av_write_n</name>
-                    <role>write_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>av_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>av_waitrequest</name>
-                    <role>waitrequest</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>1</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>NATIVE</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>2</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-            <cmsisInfo>
-                <cmsisSrcFileContents>&lt;?xml version="1.0" encoding="utf-8"?&gt;    
-&lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &gt;
-  &lt;peripherals&gt;
-   &lt;peripheral&gt;
-      &lt;name&gt;altera_avalon_jtag_uart&lt;/name&gt;&lt;baseAddress&gt;0x00000000&lt;/baseAddress&gt; 
-      &lt;addressBlock&gt;
-        &lt;offset&gt;0x0&lt;/offset&gt;
-        &lt;size&gt;8&lt;/size&gt;
-        &lt;usage&gt;registers&lt;/usage&gt;
-      &lt;/addressBlock&gt;
-      &lt;registers&gt;
-        &lt;register&gt;     
-         &lt;name&gt;DATA&lt;/name&gt;  
-         &lt;displayName&gt;Data&lt;/displayName&gt;
-         &lt;description&gt;Embedded software accesses the read and write FIFOs via the data register. A read from the data register returns the first character from the FIFO (if one is available) in the DATA field. Reading also returns information about the number of characters remaining in the FIFO in the RAVAIL field. A write to the data register stores the value of the DATA field in the write FIFO. If the write FIFO is full, the character is lost.&lt;/description&gt;
-         &lt;addressOffset&gt;0x0&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;data&lt;/name&gt;
-           &lt;description&gt;The value to transfer to/from the JTAG core. When writing, the DATA field holds a character to be written to the write FIFO. When reading, the DATA field holds a character read from the read FIFO.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;8&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-           &lt;field&gt;&lt;name&gt;rvalid&lt;/name&gt;
-           &lt;description&gt;Indicates whether the DATA field is valid. If RVALID=1, the DATA field is valid, otherwise DATA is undefined.&lt;/description&gt;
-            &lt;bitOffset&gt;0xf&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-            &lt;access&gt;read-only&lt;/access&gt;
-        &lt;/field&gt;
-           &lt;field&gt;&lt;name&gt;ravail&lt;/name&gt;
-           &lt;description&gt;The number of characters remaining in the read FIFO (after the current read).&lt;/description&gt;
-            &lt;bitOffset&gt;0x10&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;16&lt;/bitWidth&gt;
-            &lt;access&gt;read-only&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;CONTROL&lt;/name&gt;  
-         &lt;displayName&gt;Control&lt;/displayName&gt;
-         &lt;description&gt;Embedded software controls the JTAG UART core's interrupt generation and reads status information via the control register. A read from the control register returns the status of the read and write FIFOs. Writes to the register can be used to enable/disable interrupts, or clear the AC bit.&lt;/description&gt;
-         &lt;addressOffset&gt;0x4&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;re&lt;/name&gt;
-            &lt;description&gt;Interrupt-enable bit for read interrupts.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-           &lt;field&gt;&lt;name&gt;we&lt;/name&gt;
-            &lt;description&gt;Interrupt-enable bit for write interrupts&lt;/description&gt;
-            &lt;bitOffset&gt;0x1&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-           &lt;field&gt;&lt;name&gt;ri&lt;/name&gt;
-            &lt;description&gt;Indicates that the read interrupt is pending.&lt;/description&gt;
-            &lt;bitOffset&gt;0x8&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-            &lt;access&gt;read-only&lt;/access&gt;
-        &lt;/field&gt;
-           &lt;field&gt;&lt;name&gt;wi&lt;/name&gt;
-            &lt;description&gt;Indicates that the write interrupt is pending.&lt;/description&gt;
-            &lt;bitOffset&gt;0x9&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-            &lt;access&gt;read-only&lt;/access&gt;
-        &lt;/field&gt;
-           &lt;field&gt;&lt;name&gt;ac&lt;/name&gt;
-            &lt;description&gt;Indicates that there has been JTAG activity since the bit was cleared. Writing 1 to AC clears it to 0.&lt;/description&gt;
-            &lt;bitOffset&gt;0xa&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-           &lt;field&gt;&lt;name&gt;wspace&lt;/name&gt;
-            &lt;description&gt;The number of spaces available in the write FIFO&lt;/description&gt;
-            &lt;bitOffset&gt;0x10&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;16&lt;/bitWidth&gt;
-            &lt;access&gt;read-only&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt;            
-    &lt;/registers&gt;
-   &lt;/peripheral&gt;
-  &lt;/peripherals&gt;
-&lt;/device&gt; </cmsisSrcFileContents>
-                <addressGroup></addressGroup>
-                <cmsisVars/>
-            </cmsisInfo>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>irq</name>
-            <type>interrupt</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>av_irq</name>
-                    <role>irq</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedAddressablePoint</key>
-                        <value>jtag_uart_0.avalon_jtag_slave</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedReceiverOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToReceiver</key>
-                    </entry>
-                    <entry>
-                        <key>irqScheme</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rst_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_jtag_uart_0</hdlLibraryName>
     <fileSets>
@@ -9474,7 +6048,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jtag_uart_0.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jtag_uart_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap>
         <entry>
@@ -9846,7 +6420,7 @@
     </boundary>
     <originalModuleInfo>
         <className>altera_avalon_onchip_memory2</className>
-        <version>19.2.0</version>
+        <version>18.0</version>
         <displayName>On-Chip Memory (RAM or ROM) Intel FPGA IP</displayName>
     </originalModuleInfo>
     <systemInfoParameterDescriptors>
@@ -9897,331 +6471,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clk1</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset1</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>reset_req</name>
-                    <role>reset_req</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk1</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>s1</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>15</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>clken</name>
-                    <role>clken</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>chipselect</name>
-                    <role>chipselect</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>byteenable</name>
-                    <role>byteenable</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>131072</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk1</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset1</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>131072</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_onchip_memory2_0</hdlLibraryName>
     <fileSets>
@@ -10246,7 +6495,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_onchip_memory2_0.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_onchip_memory2_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap>
         <entry>
@@ -10358,17 +6607,17 @@
     <boundary>
         <interfaces>
             <interface>
-                <name>system</name>
-                <type>clock</type>
+                <name>address</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
+                        <name>coe_address_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -10377,28 +6626,27 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
+                            <key>associatedClock</key>
                         </entry>
                         <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
+                            <key>associatedReset</key>
                         </entry>
                         <entry>
-                            <key>ptfSchematicName</key>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>system_reset</name>
-                <type>reset</type>
+                <name>clk</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
+                        <name>coe_clk_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -10411,11 +6659,13 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
-                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
@@ -10655,12 +6905,12 @@
                 </parameters>
             </interface>
             <interface>
-                <name>reset</name>
+                <name>read</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_reset_export</name>
+                        <name>coe_read_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -10687,17 +6937,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>clk</name>
+                <name>readdata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_clk_export</name>
+                        <name>coe_readdata_export</name>
                         <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
+                        <direction>Input</direction>
+                        <width>32</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -10719,17 +6969,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>address</name>
+                <name>reset</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_address_export</name>
+                        <name>coe_reset_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -10751,14 +7001,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>write</name>
-                <type>conduit</type>
+                <name>system</name>
+                <type>clock</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_write_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
+                        <name>csi_system_clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -10770,30 +7020,31 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>associatedClock</key>
+                            <key>clockRate</key>
+                            <value>0</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
+                            <key>externallyDriven</key>
+                            <value>false</value>
                         </entry>
                         <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>ptfSchematicName</key>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>writedata</name>
-                <type>conduit</type>
+                <name>system_reset</name>
+                <type>reset</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_writedata_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>32</width>
+                        <name>csi_system_reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -10803,24 +7054,22 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
+                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>read</name>
+                <name>write</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_read_export</name>
+                        <name>coe_write_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -10847,14 +7096,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>readdata</name>
+                <name>writedata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_readdata_export</name>
+                        <name>coe_writedata_export</name>
                         <role>export</role>
-                        <direction>Input</direction>
+                        <direction>Output</direction>
                         <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
@@ -10935,531 +7184,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_avs_common_mm_2</hdlLibraryName>
     <fileSets>
@@ -11484,7 +7208,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_2.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_2.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -12076,531 +7800,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_pio_pps</hdlLibraryName>
     <fileSets>
@@ -12625,7 +7824,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_pps.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_pps.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -13217,531 +8416,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>128</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_pio_system_info</hdlLibraryName>
     <fileSets>
@@ -13766,7 +8440,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_system_info.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_system_info.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -14240,7 +8914,7 @@
     </boundary>
     <originalModuleInfo>
         <className>altera_avalon_pio</className>
-        <version>19.1.0</version>
+        <version>18.0</version>
         <displayName>PIO (Parallel I/O) Intel FPGA IP</displayName>
     </originalModuleInfo>
     <systemInfoParameterDescriptors>
@@ -14293,466 +8967,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>external_connection</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>out_port</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>s1</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>2</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>write_n</name>
-                    <role>write_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>chipselect</name>
-                    <role>chipselect</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>NATIVE</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>4</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-            <cmsisInfo>
-                <cmsisSrcFileContents>&lt;?xml version="1.0" encoding="utf-8"?&gt;    
-&lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &gt;
-  &lt;peripherals&gt;
-   &lt;peripheral&gt;
-      &lt;name&gt;altera_avalon_pio&lt;/name&gt;&lt;baseAddress&gt;0x00000000&lt;/baseAddress&gt; 
-      &lt;addressBlock&gt;
-        &lt;offset&gt;0x0&lt;/offset&gt;
-        &lt;size&gt;32&lt;/size&gt;
-        &lt;usage&gt;registers&lt;/usage&gt;
-      &lt;/addressBlock&gt;
-      &lt;registers&gt;
-        &lt;register&gt;     
-         &lt;name&gt;DATA&lt;/name&gt;  
-         &lt;displayName&gt;Data&lt;/displayName&gt;
-         &lt;description&gt;Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).&lt;/description&gt;
-         &lt;addressOffset&gt;0x0&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;data&lt;/name&gt;
-           &lt;description&gt;Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;DIRECTION&lt;/name&gt;  
-         &lt;displayName&gt;Direction&lt;/displayName&gt;
-         &lt;description&gt;The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.&lt;/description&gt;
-         &lt;addressOffset&gt;0x4&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;direction&lt;/name&gt;
-            &lt;description&gt;Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;IRQ_MASK&lt;/name&gt;  
-         &lt;displayName&gt;Interrupt mask&lt;/displayName&gt;
-         &lt;description&gt;Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.&lt;/description&gt;
-         &lt;addressOffset&gt;0x8&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;interruptmask&lt;/name&gt;
-            &lt;description&gt;IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;EDGE_CAP&lt;/name&gt;  
-         &lt;displayName&gt;Edge capture&lt;/displayName&gt;
-         &lt;description&gt;Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.&lt;/description&gt;
-         &lt;addressOffset&gt;0xc&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;edgecapture&lt;/name&gt;
-            &lt;description&gt;Edge detection for each input port.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;
-         &lt;name&gt;SET_BIT&lt;/name&gt;  
-         &lt;displayName&gt;Outset&lt;/displayName&gt;
-         &lt;description&gt;You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
-         &lt;addressOffset&gt;0x10&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;write-only&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;outset&lt;/name&gt;
-            &lt;description&gt;Specifies which bit of the output port to set.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;write-only&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;CLEAR_BITS&lt;/name&gt;  
-         &lt;displayName&gt;Outclear&lt;/displayName&gt;
-         &lt;description&gt;You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
-         &lt;addressOffset&gt;0x14&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;write-only&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;outclear&lt;/name&gt;
-            &lt;description&gt;Specifies which output bit to clear.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;write-only&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt;            
-    &lt;/registers&gt;
-   &lt;/peripheral&gt;
-  &lt;/peripherals&gt;
-&lt;/device&gt; </cmsisSrcFileContents>
-                <addressGroup></addressGroup>
-                <cmsisVars/>
-            </cmsisInfo>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_pio_wdi</hdlLibraryName>
     <fileSets>
@@ -14777,7 +8991,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_wdi.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_wdi.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap>
         <entry>
@@ -15446,531 +9660,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>16384</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_ram_aduh_monitor</hdlLibraryName>
     <fileSets>
@@ -15995,7 +9684,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_aduh_monitor.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_aduh_monitor.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -16587,556 +10276,31 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>21</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>21</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8388608</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</hdlLibraryName>
+    <hdlLibraryName>qsys_lofar2_unb2b_adc_avs_common_mm_7</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</fileSetName>
-            <fileSetFixedName>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</fileSetFixedName>
+            <fileSetName>qsys_lofar2_unb2b_adc_avs_common_mm_7</fileSetName>
+            <fileSetFixedName>qsys_lofar2_unb2b_adc_avs_common_mm_7</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</fileSetName>
-            <fileSetFixedName>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</fileSetFixedName>
+            <fileSetName>qsys_lofar2_unb2b_adc_avs_common_mm_7</fileSetName>
+            <fileSetFixedName>qsys_lofar2_unb2b_adc_avs_common_mm_7</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</fileSetName>
-            <fileSetFixedName>qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn</fileSetFixedName>
+            <fileSetName>qsys_lofar2_unb2b_adc_avs_common_mm_7</fileSetName>
+            <fileSetFixedName>qsys_lofar2_unb2b_adc_avs_common_mm_7</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.ip</parameter>
+  <parameter name="logicalView">ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_7.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -17151,17 +10315,17 @@
     <boundary>
         <interfaces>
             <interface>
-                <name>system</name>
-                <type>clock</type>
+                <name>address</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
+                        <name>coe_address_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
+                        <width>14</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -17170,28 +10334,27 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
+                            <key>associatedClock</key>
                         </entry>
                         <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
+                            <key>associatedReset</key>
                         </entry>
                         <entry>
-                            <key>ptfSchematicName</key>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>system_reset</name>
-                <type>reset</type>
+                <name>clk</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
+                        <name>coe_clk_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -17204,11 +10367,13 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
-                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
@@ -17448,12 +10613,12 @@
                 </parameters>
             </interface>
             <interface>
-                <name>reset</name>
+                <name>read</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_reset_export</name>
+                        <name>coe_read_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -17480,17 +10645,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>clk</name>
+                <name>readdata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_clk_export</name>
+                        <name>coe_readdata_export</name>
                         <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
+                        <direction>Input</direction>
+                        <width>32</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -17512,17 +10677,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>address</name>
+                <name>reset</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_address_export</name>
+                        <name>coe_reset_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>14</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -17544,14 +10709,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>write</name>
-                <type>conduit</type>
+                <name>system</name>
+                <type>clock</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_write_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
+                        <name>csi_system_clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -17563,30 +10728,31 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>associatedClock</key>
+                            <key>clockRate</key>
+                            <value>0</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
+                            <key>externallyDriven</key>
+                            <value>false</value>
                         </entry>
                         <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>ptfSchematicName</key>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>writedata</name>
-                <type>conduit</type>
+                <name>system_reset</name>
+                <type>reset</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_writedata_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>32</width>
+                        <name>csi_system_reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -17596,24 +10762,22 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
+                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>read</name>
+                <name>write</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_read_export</name>
+                        <name>coe_write_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -17640,14 +10804,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>readdata</name>
+                <name>writedata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_readdata_export</name>
+                        <name>coe_writedata_export</name>
                         <role>export</role>
-                        <direction>Input</direction>
+                        <direction>Output</direction>
                         <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
@@ -17728,531 +10892,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>14</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>65536</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>14</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_ram_wg</hdlLibraryName>
     <fileSets>
@@ -18277,7 +10916,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_wg.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_wg.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -18869,531 +11508,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>6</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>6</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>256</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_aduh_monitor</hdlLibraryName>
     <fileSets>
@@ -19418,7 +11532,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_aduh_monitor.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_aduh_monitor.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -19433,17 +11547,17 @@
     <boundary>
         <interfaces>
             <interface>
-                <name>system</name>
-                <type>clock</type>
+                <name>address</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
+                        <name>coe_address_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
+                        <width>8</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -19452,28 +11566,27 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
+                            <key>associatedClock</key>
                         </entry>
                         <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
+                            <key>associatedReset</key>
                         </entry>
                         <entry>
-                            <key>ptfSchematicName</key>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>system_reset</name>
-                <type>reset</type>
+                <name>clk</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
+                        <name>coe_clk_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -19486,11 +11599,13 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
-                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
@@ -19730,12 +11845,12 @@
                 </parameters>
             </interface>
             <interface>
-                <name>reset</name>
+                <name>read</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_reset_export</name>
+                        <name>coe_read_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -19762,17 +11877,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>clk</name>
+                <name>readdata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_clk_export</name>
+                        <name>coe_readdata_export</name>
                         <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
+                        <direction>Input</direction>
+                        <width>32</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -19794,17 +11909,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>address</name>
+                <name>reset</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_address_export</name>
+                        <name>coe_reset_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>8</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -19826,14 +11941,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>write</name>
-                <type>conduit</type>
+                <name>system</name>
+                <type>clock</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_write_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
+                        <name>csi_system_clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -19845,30 +11960,31 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>associatedClock</key>
+                            <key>clockRate</key>
+                            <value>0</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
+                            <key>externallyDriven</key>
+                            <value>false</value>
                         </entry>
                         <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>ptfSchematicName</key>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>writedata</name>
-                <type>conduit</type>
+                <name>system_reset</name>
+                <type>reset</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_writedata_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>32</width>
+                        <name>csi_system_reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -19878,24 +11994,22 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
+                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>read</name>
+                <name>write</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_read_export</name>
+                        <name>coe_write_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -19922,14 +12036,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>readdata</name>
+                <name>writedata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_readdata_export</name>
+                        <name>coe_writedata_export</name>
                         <role>export</role>
-                        <direction>Input</direction>
+                        <direction>Output</direction>
                         <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
@@ -20010,531 +12124,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>1024</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_bsn_monitor_input</hdlLibraryName>
     <fileSets>
@@ -20559,7 +12148,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -20574,17 +12163,17 @@
     <boundary>
         <interfaces>
             <interface>
-                <name>system</name>
-                <type>clock</type>
+                <name>address</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
+                        <name>coe_address_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -20593,28 +12182,27 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
+                            <key>associatedClock</key>
                         </entry>
                         <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
+                            <key>associatedReset</key>
                         </entry>
                         <entry>
-                            <key>ptfSchematicName</key>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>system_reset</name>
-                <type>reset</type>
+                <name>clk</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
+                        <name>coe_clk_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -20627,11 +12215,13 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
-                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
@@ -20871,12 +12461,12 @@
                 </parameters>
             </interface>
             <interface>
-                <name>reset</name>
+                <name>read</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_reset_export</name>
+                        <name>coe_read_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -20903,17 +12493,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>clk</name>
+                <name>readdata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_clk_export</name>
+                        <name>coe_readdata_export</name>
                         <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
+                        <direction>Input</direction>
+                        <width>32</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -20935,17 +12525,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>address</name>
+                <name>reset</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_address_export</name>
+                        <name>coe_reset_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -20967,14 +12557,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>write</name>
-                <type>conduit</type>
+                <name>system</name>
+                <type>clock</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_write_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
+                        <name>csi_system_clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -20986,30 +12576,31 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>associatedClock</key>
+                            <key>clockRate</key>
+                            <value>0</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
+                            <key>externallyDriven</key>
+                            <value>false</value>
                         </entry>
                         <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>ptfSchematicName</key>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>writedata</name>
-                <type>conduit</type>
+                <name>system_reset</name>
+                <type>reset</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_writedata_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>32</width>
+                        <name>csi_system_reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -21019,24 +12610,22 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
+                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>read</name>
+                <name>write</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_read_export</name>
+                        <name>coe_write_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -21063,14 +12652,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>readdata</name>
+                <name>writedata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_readdata_export</name>
+                        <name>coe_writedata_export</name>
                         <role>export</role>
-                        <direction>Input</direction>
+                        <direction>Output</direction>
                         <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
@@ -21151,531 +12740,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_bsn_scheduler</hdlLibraryName>
     <fileSets>
@@ -21700,7 +12764,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_scheduler.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_scheduler.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -21715,17 +12779,17 @@
     <boundary>
         <interfaces>
             <interface>
-                <name>system</name>
-                <type>clock</type>
+                <name>address</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
+                        <name>coe_address_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
+                        <width>2</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -21734,28 +12798,27 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
+                            <key>associatedClock</key>
                         </entry>
                         <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
+                            <key>associatedReset</key>
                         </entry>
                         <entry>
-                            <key>ptfSchematicName</key>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>system_reset</name>
-                <type>reset</type>
+                <name>clk</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
+                        <name>coe_clk_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -21768,11 +12831,13 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
-                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
@@ -22012,12 +13077,12 @@
                 </parameters>
             </interface>
             <interface>
-                <name>reset</name>
+                <name>read</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_reset_export</name>
+                        <name>coe_read_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -22044,17 +13109,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>clk</name>
+                <name>readdata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_clk_export</name>
+                        <name>coe_readdata_export</name>
                         <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
+                        <direction>Input</direction>
+                        <width>32</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -22076,17 +13141,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>address</name>
+                <name>reset</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_address_export</name>
+                        <name>coe_reset_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>2</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -22108,14 +13173,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>write</name>
-                <type>conduit</type>
+                <name>system</name>
+                <type>clock</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_write_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
+                        <name>csi_system_clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -22127,30 +13192,31 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>associatedClock</key>
+                            <key>clockRate</key>
+                            <value>0</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
+                            <key>externallyDriven</key>
+                            <value>false</value>
                         </entry>
                         <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>ptfSchematicName</key>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>writedata</name>
-                <type>conduit</type>
+                <name>system_reset</name>
+                <type>reset</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_writedata_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>32</width>
+                        <name>csi_system_reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -22160,24 +13226,22 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
+                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>read</name>
+                <name>write</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_read_export</name>
+                        <name>coe_write_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -22204,14 +13268,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>readdata</name>
+                <name>writedata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_readdata_export</name>
+                        <name>coe_writedata_export</name>
                         <role>export</role>
-                        <direction>Input</direction>
+                        <direction>Output</direction>
                         <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
@@ -22292,531 +13356,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>2</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>16</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>2</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_bsn_source</hdlLibraryName>
     <fileSets>
@@ -22841,7 +13380,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_source.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_source.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -23433,556 +13972,31 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>16384</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn</hdlLibraryName>
+    <hdlLibraryName>qsys_lofar2_unb2b_adc_avs_common_mm_8</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn</fileSetName>
-            <fileSetFixedName>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn</fileSetFixedName>
+            <fileSetName>qsys_lofar2_unb2b_adc_avs_common_mm_8</fileSetName>
+            <fileSetFixedName>qsys_lofar2_unb2b_adc_avs_common_mm_8</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn</fileSetName>
-            <fileSetFixedName>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn</fileSetFixedName>
+            <fileSetName>qsys_lofar2_unb2b_adc_avs_common_mm_8</fileSetName>
+            <fileSetFixedName>qsys_lofar2_unb2b_adc_avs_common_mm_8</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn</fileSetName>
-            <fileSetFixedName>qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn</fileSetFixedName>
+            <fileSetName>qsys_lofar2_unb2b_adc_avs_common_mm_8</fileSetName>
+            <fileSetFixedName>qsys_lofar2_unb2b_adc_avs_common_mm_8</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn.ip</parameter>
+  <parameter name="logicalView">ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_8.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -23997,17 +14011,17 @@
     <boundary>
         <interfaces>
             <interface>
-                <name>system</name>
-                <type>clock</type>
+                <name>address</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
+                        <name>coe_address_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -24016,28 +14030,27 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
+                            <key>associatedClock</key>
                         </entry>
                         <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
+                            <key>associatedReset</key>
                         </entry>
                         <entry>
-                            <key>ptfSchematicName</key>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>system_reset</name>
-                <type>reset</type>
+                <name>clk</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
+                        <name>coe_clk_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -24050,11 +14063,13 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
-                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
@@ -24294,12 +14309,12 @@
                 </parameters>
             </interface>
             <interface>
-                <name>reset</name>
+                <name>read</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_reset_export</name>
+                        <name>coe_read_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -24326,17 +14341,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>clk</name>
+                <name>readdata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_clk_export</name>
+                        <name>coe_readdata_export</name>
                         <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
+                        <direction>Input</direction>
+                        <width>32</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -24358,17 +14373,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>address</name>
+                <name>reset</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_address_export</name>
+                        <name>coe_reset_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>3</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -24390,14 +14405,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>write</name>
-                <type>conduit</type>
+                <name>system</name>
+                <type>clock</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_write_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
+                        <name>csi_system_clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -24409,30 +14424,31 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>associatedClock</key>
+                            <key>clockRate</key>
+                            <value>0</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
+                            <key>externallyDriven</key>
+                            <value>false</value>
                         </entry>
                         <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>ptfSchematicName</key>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>writedata</name>
-                <type>conduit</type>
+                <name>system_reset</name>
+                <type>reset</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_writedata_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>32</width>
+                        <name>csi_system_reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -24442,24 +14458,22 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
+                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>read</name>
+                <name>write</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_read_export</name>
+                        <name>coe_write_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -24486,14 +14500,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>readdata</name>
+                <name>writedata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_readdata_export</name>
+                        <name>coe_writedata_export</name>
                         <role>export</role>
-                        <direction>Input</direction>
+                        <direction>Output</direction>
                         <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
@@ -24574,531 +14588,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>3</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>32</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>3</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_dp_shiftram</hdlLibraryName>
     <fileSets>
@@ -25123,7 +14612,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_shiftram.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_shiftram.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -25715,531 +15204,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_dpmm_ctrl</hdlLibraryName>
     <fileSets>
@@ -26264,7 +15228,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_ctrl.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_ctrl.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -26856,531 +15820,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_dpmm_data</hdlLibraryName>
     <fileSets>
@@ -27405,7 +15844,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_data.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_data.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -27997,531 +16436,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>3</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>3</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>32</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_epcs</hdlLibraryName>
     <fileSets>
@@ -28546,7 +16460,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_epcs.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_epcs.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -29138,531 +17052,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>3</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>3</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>32</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_fpga_temp_sens</hdlLibraryName>
     <fileSets>
@@ -29687,7 +17076,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_temp_sens.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_temp_sens.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -30279,531 +17668,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>64</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens</hdlLibraryName>
     <fileSets>
@@ -30828,7 +17692,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -31420,531 +18284,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_mmdp_ctrl</hdlLibraryName>
     <fileSets>
@@ -31969,7 +18308,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_ctrl.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_ctrl.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -32561,531 +18900,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_mmdp_data</hdlLibraryName>
     <fileSets>
@@ -33110,7 +18924,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_data.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_mmdp_data.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -33702,531 +19516,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>3</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>3</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>32</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_remu</hdlLibraryName>
     <fileSets>
@@ -34251,7 +19540,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_remu.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_remu.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -34843,531 +20132,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>6</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>6</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>256</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_unb_pmbus</hdlLibraryName>
     <fileSets>
@@ -35392,7 +20156,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_pmbus.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_pmbus.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -35984,531 +20748,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>6</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>6</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>256</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_unb_sens</hdlLibraryName>
     <fileSets>
@@ -36533,7 +20772,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_sens.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_sens.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -37125,531 +21364,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_wdi</hdlLibraryName>
     <fileSets>
@@ -37674,7 +21388,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wdi.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wdi.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -37689,17 +21403,17 @@
     <boundary>
         <interfaces>
             <interface>
-                <name>system</name>
-                <type>clock</type>
+                <name>address</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
+                        <name>coe_address_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
+                        <width>6</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -37708,28 +21422,27 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
+                            <key>associatedClock</key>
                         </entry>
                         <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
+                            <key>associatedReset</key>
                         </entry>
                         <entry>
-                            <key>ptfSchematicName</key>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>system_reset</name>
-                <type>reset</type>
+                <name>clk</name>
+                <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>csi_system_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
+                        <name>coe_clk_export</name>
+                        <role>export</role>
+                        <direction>Output</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -37742,11 +21455,13 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
-                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
@@ -37986,12 +21701,12 @@
                 </parameters>
             </interface>
             <interface>
-                <name>reset</name>
+                <name>read</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_reset_export</name>
+                        <name>coe_read_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -38018,17 +21733,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>clk</name>
+                <name>readdata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_clk_export</name>
+                        <name>coe_readdata_export</name>
                         <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
+                        <direction>Input</direction>
+                        <width>32</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -38050,17 +21765,17 @@
                 </parameters>
             </interface>
             <interface>
-                <name>address</name>
+                <name>reset</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_address_export</name>
+                        <name>coe_reset_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>6</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -38082,14 +21797,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>write</name>
-                <type>conduit</type>
+                <name>system</name>
+                <type>clock</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_write_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
+                        <name>csi_system_clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
                         <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC</vhdlType>
@@ -38101,30 +21816,31 @@
                 <parameters>
                     <parameterValueMap>
                         <entry>
-                            <key>associatedClock</key>
+                            <key>clockRate</key>
+                            <value>0</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
+                            <key>externallyDriven</key>
+                            <value>false</value>
                         </entry>
                         <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>ptfSchematicName</key>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>writedata</name>
-                <type>conduit</type>
+                <name>system_reset</name>
+                <type>reset</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_writedata_export</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>32</width>
+                        <name>csi_system_reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <vhdlType>STD_LOGIC</vhdlType>
                     </port>
                 </ports>
                 <assignments>
@@ -38134,24 +21850,22 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedClock</key>
+                            <value>system</value>
                         </entry>
                         <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
                         </entry>
                     </parameterValueMap>
                 </parameters>
             </interface>
             <interface>
-                <name>read</name>
+                <name>write</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_read_export</name>
+                        <name>coe_write_export</name>
                         <role>export</role>
                         <direction>Output</direction>
                         <width>1</width>
@@ -38178,14 +21892,14 @@
                 </parameters>
             </interface>
             <interface>
-                <name>readdata</name>
+                <name>writedata</name>
                 <type>conduit</type>
                 <isStart>false</isStart>
                 <ports>
                     <port>
-                        <name>coe_readdata_export</name>
+                        <name>coe_writedata_export</name>
                         <role>export</role>
-                        <direction>Input</direction>
+                        <direction>Output</direction>
                         <width>32</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
@@ -38266,531 +21980,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>6</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>256</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>6</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_reg_wg</hdlLibraryName>
     <fileSets>
@@ -38815,7 +22004,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wg.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wg.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -39407,531 +22596,6 @@
         </connPtSystemInfos>
     </systemInfos>
 </componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>address</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_address_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>10</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_clk_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>mem</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>avs_mem_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>10</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>avs_mem_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>4096</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>system_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>read</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_read_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>readdata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_readdata_export</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_reset_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>system_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csi_system_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>system</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>write</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_write_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>writedata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>coe_writedata_export</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_rom_system_info</hdlLibraryName>
     <fileSets>
@@ -39956,7 +22620,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_rom_system_info.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_rom_system_info.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -40483,706 +23147,126 @@
         &lt;register&gt;
             &lt;name&gt;${snap_3}&lt;/name&gt;
             &lt;description&gt;&lt;/description&gt;
-            &lt;addressOffset&gt;0x9&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-        &lt;/register&gt;
-    &lt;/registers&gt;
-   &lt;/peripheral&gt;
-  &lt;/peripherals&gt;
-&lt;/device&gt; </cmsisSrcFileContents>
-                    <addressGroup></addressGroup>
-                    <cmsisVars>
-                        <entry>
-                            <key>period_name_1_reset_value</key>
-                            <value>0x1</value>
-                        </entry>
-                        <entry>
-                            <key>snap_0</key>
-                            <value>Reserved</value>
-                        </entry>
-                        <entry>
-                            <key>period_name_0_reset_value</key>
-                            <value>0x869f</value>
-                        </entry>
-                        <entry>
-                            <key>snap_2</key>
-                            <value>Reserved</value>
-                        </entry>
-                        <entry>
-                            <key>snap_1</key>
-                            <value>Reserved</value>
-                        </entry>
-                        <entry>
-                            <key>snap_3</key>
-                            <value>Reserved</value>
-                        </entry>
-                        <entry>
-                            <key>period_name_0</key>
-                            <value>periodl</value>
-                        </entry>
-                        <entry>
-                            <key>period_name_1</key>
-                            <value>periodh</value>
-                        </entry>
-                        <entry>
-                            <key>period_snap_1</key>
-                            <value>snaph</value>
-                        </entry>
-                        <entry>
-                            <key>period_snap_1_reset_value</key>
-                            <value>0x0</value>
-                        </entry>
-                        <entry>
-                            <key>period_snap_0_reset_value</key>
-                            <value>0x0</value>
-                        </entry>
-                        <entry>
-                            <key>period_snap_0</key>
-                            <value>snapl</value>
-                        </entry>
-                    </cmsisVars>
-                </cmsisInfo>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_avalon_timer</className>
-        <version>19.1.0</version>
-        <displayName>Interval Timer Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue>0</parameterDefaultValue>
-                <parameterName>systemFrequency</parameterName>
-                <parameterType>java.lang.Long</parameterType>
-                <systemInfoArgs>clk</systemInfoArgs>
-                <systemInfotype>CLOCK_RATE</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>clk</key>
-                <value>
-                    <connectionPointName>clk</connectionPointName>
-                    <suppliedSystemInfos/>
-                    <consumedSystemInfos>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>100000000</value>
-                        </entry>
-                    </consumedSystemInfos>
-                </value>
-            </entry>
-            <entry>
-                <key>s1</key>
-                <value>
-                    <connectionPointName>s1</connectionPointName>
-                    <suppliedSystemInfos>
-                        <entry>
-                            <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='s1' start='0x0' end='0x20' datawidth='16' /&gt;&lt;/address-map&gt;</value>
-                        </entry>
-                        <entry>
-                            <key>ADDRESS_WIDTH</key>
-                            <value>5</value>
-                        </entry>
-                        <entry>
-                            <key>MAX_SLAVE_DATA_WIDTH</key>
-                            <value>16</value>
-                        </entry>
-                    </suppliedSystemInfos>
-                    <consumedSystemInfos/>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>irq</name>
-            <type>interrupt</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>irq</name>
-                    <role>irq</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedAddressablePoint</key>
-                        <value>timer_0.s1</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedReceiverOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToReceiver</key>
-                    </entry>
-                    <entry>
-                        <key>irqScheme</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>s1</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>3</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>16</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>16</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>chipselect</name>
-                    <role>chipselect</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>write_n</name>
-                    <role>write_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isTimerDevice</key>
-                        <value>1</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>NATIVE</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-            <cmsisInfo>
-                <cmsisSrcFileContents>&lt;?xml version="1.0" encoding="utf-8"?&gt;    
-&lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &gt;
-  &lt;peripherals&gt;
-   &lt;peripheral&gt;
-      &lt;name&gt;altera_avalon_timer&lt;/name&gt;&lt;baseAddress&gt;0x00000000&lt;/baseAddress&gt; 
-      &lt;addressBlock&gt;
-        &lt;offset&gt;0x0&lt;/offset&gt;
-        &lt;size&gt;16&lt;/size&gt;
-        &lt;usage&gt;registers&lt;/usage&gt;
-      &lt;/addressBlock&gt;
-       &lt;registers&gt;
-         &lt;register&gt;     
-          &lt;name&gt;status&lt;/name&gt;  
-          &lt;displayName&gt;Status&lt;/displayName&gt;
-          &lt;description&gt;The status register has two defined bits. TO (timeout), RUN&lt;/description&gt;
-          &lt;addressOffset&gt;0x0&lt;/addressOffset&gt;
-          &lt;size&gt;16&lt;/size&gt;
-          &lt;access&gt;read-write&lt;/access&gt;
-          &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-          &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-          &lt;fields&gt;
-            &lt;field&gt;&lt;name&gt;TO&lt;/name&gt;
-            &lt;description&gt;The TO (timeout) bit is set to 1 when the internal counter reaches zero. Once set by a timeout event, the TO bit stays set until explicitly cleared by a master peripheral. Write zero to the status register to clear the TO bit.&lt;/description&gt;
-             &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-             &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-             &lt;access&gt;read-only&lt;/access&gt;
-             &lt;readAction&gt;clear&lt;/readAction&gt;
-            &lt;/field&gt;
-            &lt;field&gt;&lt;name&gt;RUN&lt;/name&gt;
-            &lt;description&gt;The RUN bit reads as 1 when the internal counter is running; otherwise this bit reads as 0. The RUN bit is not changed by
- a write operation to the status register.&lt;/description&gt;
-             &lt;bitOffset&gt;1&lt;/bitOffset&gt;
-             &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-             &lt;access&gt;read-only&lt;/access&gt;
-            &lt;/field&gt;
-            &lt;field&gt;
-             &lt;name&gt;Reserved&lt;/name&gt;
-             &lt;description&gt;Reserved&lt;/description&gt;
-             &lt;bitOffset&gt;2&lt;/bitOffset&gt;
-             &lt;bitWidth&gt;14&lt;/bitWidth&gt;
-             &lt;access&gt;read-write&lt;/access&gt;
-             &lt;parameters&gt;
-                 &lt;parameter&gt;
-                 &lt;name&gt;Reserved&lt;/name&gt;
-                 &lt;value&gt;true&lt;/value&gt;
-                 &lt;/parameter&gt;
-             &lt;/parameters&gt;
-            &lt;/field&gt;
-          &lt;/fields&gt;
-        &lt;/register&gt; 
-        &lt;register&gt;
-            &lt;name&gt;control&lt;/name&gt;
-            &lt;description&gt;The control register has four defined bits. ITO (Timeout Interrupt), CONT (continue), START, STOP&lt;/description&gt;
-            &lt;addressOffset&gt;0x1&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;reset&gt;
-                &lt;value&gt;0x0&lt;/value&gt;
-            &lt;/reset&gt;
-            &lt;field&gt;
-                &lt;name&gt;ITO&lt;/name&gt;
-                &lt;description&gt;If the ITO bit is 1, the interval timer core generates an IRQ when the status register's TO bit is 1. When the ITO bit is 0, the timer does not generate IRQs.&lt;/description&gt;
-                &lt;bitOffset&gt;0&lt;/bitOffset&gt;
-                &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-                &lt;access&gt;read-write&lt;/access&gt;
-            &lt;/field&gt;
-            &lt;field&gt;
-                &lt;name&gt;CONT&lt;/name&gt;
-                &lt;description&gt;The CONT (continuous) bit determines how the internal counter behaves when it reaches zero. If the CONT bit is 1, the counter runs continuously until it is stopped by the STOP bit. If CONT is 0, the counter stops after it reaches zero. When the counter reaches zero, it reloads with the value stored in the period registers, regardless of the CONT bit.&lt;/description&gt;
-                &lt;bitOffset&gt;1&lt;/bitOffset&gt;
-                &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-                &lt;access&gt;read-write&lt;/access&gt;
-            &lt;/field&gt;
-            &lt;field&gt;
-                &lt;name&gt;START&lt;/name&gt;
-                &lt;description&gt;Writing a 1 to the START bit starts the internal counter running (counting down). The START bit is an event bit that enables the counter when a write operation is performed. If the timer is stopped, writing a 1 to the START bit causes the timer to restart counting from the number currently stored in its counter. If the timer is already running, writing a 1 to START has no effect. Writing 0 to the START bit has no effect.&lt;/description&gt;
-                &lt;bitOffset&gt;2&lt;/bitOffset&gt;
-                &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-                &lt;access&gt;write-only&lt;/access&gt;
-            &lt;/field&gt;
-            &lt;field&gt;
-                &lt;name&gt;STOP&lt;/name&gt;
-                &lt;description&gt;Writing a 1 to the STOP bit stops the internal counter. The STOP bit is an event bit that causes the counter to stop when a write operation is performed. If the timer is already stopped, writing a 1 to STOP has no effect. Writing a 0 to the stop bit has no effect. If the timer hardware is configured with Start/Stop control bits off, writing the STOP bit has no effect.&lt;/description&gt;
-                &lt;bitOffset&gt;3&lt;/bitOffset&gt;
-                &lt;bitWidth&gt;1&lt;/bitWidth&gt;
-                &lt;access&gt;write-only&lt;/access&gt;
-            &lt;/field&gt;
-            &lt;field&gt;
-                &lt;name&gt;Reserved&lt;/name&gt;
-                &lt;description&gt;Reserved&lt;/description&gt;
-                &lt;bitOffset&gt;4&lt;/bitOffset&gt;
-                &lt;bitWidth&gt;12&lt;/bitWidth&gt;
-                &lt;access&gt;read-write&lt;/access&gt;
-                &lt;parameters&gt;
-                    &lt;parameter&gt;
-                    &lt;name&gt;Reserved&lt;/name&gt;
-                    &lt;value&gt;true&lt;/value&gt;
-                    &lt;/parameter&gt;
-                &lt;/parameters&gt;
-            &lt;/field&gt;
-        &lt;/register&gt;
-        &lt;register&gt;
-            &lt;name&gt;${period_name_0}&lt;/name&gt;
-            &lt;description&gt;The period_n registers together store the timeout period value when a write operation to one of the period_n register or the internal counter reaches 0. The timer's actual period is one cycle greater than the value stored in the period_n registers because the counter assumes the value zero for one clock cycle. Writing to one of the period_n registers stops the internal counter, except when the hardware is configured with Start/Stop control bits off. If Start/Stop control bits is off, writing either register does not stop the counter. When the hardware is configured with Writeable period disabled, writing to one of the period_n registers causes the counter to reset to the fixed Timeout Period specified at system generation time.&lt;/description&gt;
-            &lt;addressOffset&gt;0x2&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;resetValue&gt;${period_name_0_reset_value}&lt;/resetValue&gt;
-            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-        &lt;/register&gt;
-        &lt;register&gt;
-            &lt;name&gt;${period_name_1}&lt;/name&gt;
-            &lt;description&gt;&lt;/description&gt;
-            &lt;addressOffset&gt;0x3&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;resetValue&gt;${period_name_1_reset_value}&lt;/resetValue&gt;
-            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-        &lt;/register&gt;
-        &lt;register&gt;
-            &lt;name&gt;${period_snap_0}&lt;/name&gt;
-            &lt;description&gt;&lt;/description&gt;
-            &lt;addressOffset&gt;0x4&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;resetValue&gt;${period_snap_0_reset_value}&lt;/resetValue&gt;
-            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-        &lt;/register&gt;
-        &lt;register&gt;
-            &lt;name&gt;${period_snap_1}&lt;/name&gt;
-            &lt;description&gt;&lt;/description&gt;
-            &lt;addressOffset&gt;0x5&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;resetValue&gt;${period_snap_1_reset_value}&lt;/resetValue&gt;
-            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-        &lt;/register&gt;
-        &lt;register&gt;
-            &lt;name&gt;${snap_0}&lt;/name&gt;
-            &lt;description&gt;A master peripheral may request a coherent snapshot of the current internal counter by performing a write operation (write-data ignored) to one of the snap_n registers. When a write occurs, the value of the counter is copied to snap_n registers. The snapshot occurs whether or not the counter is running. Requesting a snapshot does not change the internal counter's operation.&lt;/description&gt;
-            &lt;addressOffset&gt;0x6&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-        &lt;/register&gt;
-        &lt;register&gt;
-            &lt;name&gt;${snap_1}&lt;/name&gt;
-            &lt;description&gt;&lt;/description&gt;
-            &lt;addressOffset&gt;0x7&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-        &lt;/register&gt;
-        &lt;register&gt;
-            &lt;name&gt;${snap_2}&lt;/name&gt;
-            &lt;description&gt;&lt;/description&gt;
-            &lt;addressOffset&gt;0x8&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-        &lt;/register&gt;
-        &lt;register&gt;
-            &lt;name&gt;${snap_3}&lt;/name&gt;
-            &lt;description&gt;&lt;/description&gt;
-            &lt;addressOffset&gt;0x9&lt;/addressOffset&gt;
-            &lt;size&gt;16&lt;/size&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-            &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
-        &lt;/register&gt;
-    &lt;/registers&gt;
-   &lt;/peripheral&gt;
-  &lt;/peripherals&gt;
-&lt;/device&gt; </cmsisSrcFileContents>
-                <addressGroup></addressGroup>
-                <cmsisVars>
-                    <entry>
-                        <key>period_name_1_reset_value</key>
-                        <value>0x1</value>
-                    </entry>
-                    <entry>
-                        <key>snap_0</key>
-                        <value>Reserved</value>
-                    </entry>
-                    <entry>
-                        <key>period_name_0_reset_value</key>
-                        <value>0x869f</value>
-                    </entry>
-                    <entry>
-                        <key>snap_2</key>
-                        <value>Reserved</value>
-                    </entry>
-                    <entry>
-                        <key>snap_1</key>
-                        <value>Reserved</value>
-                    </entry>
-                    <entry>
-                        <key>snap_3</key>
-                        <value>Reserved</value>
-                    </entry>
-                    <entry>
-                        <key>period_name_0</key>
-                        <value>periodl</value>
-                    </entry>
-                    <entry>
-                        <key>period_name_1</key>
-                        <value>periodh</value>
-                    </entry>
-                    <entry>
-                        <key>period_snap_1</key>
-                        <value>snaph</value>
-                    </entry>
-                    <entry>
-                        <key>period_snap_1_reset_value</key>
-                        <value>0x0</value>
-                    </entry>
-                    <entry>
-                        <key>period_snap_0_reset_value</key>
-                        <value>0x0</value>
-                    </entry>
-                    <entry>
-                        <key>period_snap_0</key>
-                        <value>snapl</value>
-                    </entry>
-                </cmsisVars>
-            </cmsisInfo>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
+            &lt;addressOffset&gt;0x9&lt;/addressOffset&gt;
+            &lt;size&gt;16&lt;/size&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+            &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+            &lt;resetMask&gt;0xffff&lt;/resetMask&gt;
+        &lt;/register&gt;
+    &lt;/registers&gt;
+   &lt;/peripheral&gt;
+  &lt;/peripherals&gt;
+&lt;/device&gt; </cmsisSrcFileContents>
+                    <addressGroup></addressGroup>
+                    <cmsisVars>
+                        <entry>
+                            <key>period_name_1_reset_value</key>
+                            <value>0x1</value>
+                        </entry>
+                        <entry>
+                            <key>snap_0</key>
+                            <value>Reserved</value>
+                        </entry>
+                        <entry>
+                            <key>period_name_0_reset_value</key>
+                            <value>0x869f</value>
+                        </entry>
+                        <entry>
+                            <key>snap_2</key>
+                            <value>Reserved</value>
+                        </entry>
+                        <entry>
+                            <key>snap_1</key>
+                            <value>Reserved</value>
+                        </entry>
+                        <entry>
+                            <key>snap_3</key>
+                            <value>Reserved</value>
+                        </entry>
+                        <entry>
+                            <key>period_name_0</key>
+                            <value>periodl</value>
+                        </entry>
+                        <entry>
+                            <key>period_name_1</key>
+                            <value>periodh</value>
+                        </entry>
+                        <entry>
+                            <key>period_snap_1</key>
+                            <value>snaph</value>
+                        </entry>
+                        <entry>
+                            <key>period_snap_1_reset_value</key>
+                            <value>0x0</value>
+                        </entry>
+                        <entry>
+                            <key>period_snap_0_reset_value</key>
+                            <value>0x0</value>
+                        </entry>
+                        <entry>
+                            <key>period_snap_0</key>
+                            <value>snapl</value>
+                        </entry>
+                    </cmsisVars>
+                </cmsisInfo>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>altera_avalon_timer</className>
+        <version>18.0</version>
+        <displayName>Interval Timer Intel FPGA IP</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors>
+            <descriptor>
+                <parameterDefaultValue>0</parameterDefaultValue>
+                <parameterName>systemFrequency</parameterName>
+                <parameterType>java.lang.Long</parameterType>
+                <systemInfoArgs>clk</systemInfoArgs>
+                <systemInfotype>CLOCK_RATE</systemInfotype>
+            </descriptor>
+        </descriptors>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos>
+            <entry>
+                <key>clk</key>
+                <value>
+                    <connectionPointName>clk</connectionPointName>
+                    <suppliedSystemInfos/>
+                    <consumedSystemInfos>
+                        <entry>
+                            <key>CLOCK_RATE</key>
+                            <value>100000000</value>
+                        </entry>
+                    </consumedSystemInfos>
+                </value>
+            </entry>
+            <entry>
+                <key>s1</key>
+                <value>
+                    <connectionPointName>s1</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>ADDRESS_MAP</key>
+                            <value>&lt;address-map&gt;&lt;slave name='s1' start='0x0' end='0x20' datawidth='16' /&gt;&lt;/address-map&gt;</value>
+                        </entry>
+                        <entry>
+                            <key>ADDRESS_WIDTH</key>
+                            <value>5</value>
+                        </entry>
+                        <entry>
+                            <key>MAX_SLAVE_DATA_WIDTH</key>
+                            <value>16</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+        </connPtSystemInfos>
+    </systemInfos>
+</componentDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
     <hdlLibraryName>qsys_lofar2_unb2b_adc_timer_0</hdlLibraryName>
     <fileSets>
@@ -41207,7 +23291,7 @@
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">../lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_timer_0.ip</parameter>
+  <parameter name="logicalView">../../../../hdl/build/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_timer_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap>
         <entry>
@@ -41268,1167 +23352,699 @@
  </module>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="jtag_uart_0.avalon_jtag_slave">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3200" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="cpu_0.debug_mem_slave">
-  <parameter name="arbitrationPriority" value="1" />
+   version="18.0"
+   start="cpu0.data_master"
+   end="cpu0.debug_mem_slave">
   <parameter name="baseAddress" value="0x3800" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_unb_sens.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3100" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="rom_system_info.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x1000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+   version="18.0"
+   start="cpu0.data_master"
+   end="reg_unb_pmbus.mem">
+  <parameter name="baseAddress" value="0x0300" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="18.0"
+   start="cpu0.data_master"
+   end="reg_fpga_temp_sens.mem">
+  <parameter name="baseAddress" value="0x30a0" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="pio_system_info.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="pio_pps.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x30f8" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_wdi.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_remu.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x30a0" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="baseAddress" value="0x3080" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_epcs.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x3080" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="baseAddress" value="0x3060" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_dpmm_ctrl.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x30f0" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_dpmm_data.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x30e8" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_mmdp_ctrl.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x30e0" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_mmdp_data.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x30d8" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
- </connection>
- <connection
-   kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="reg_fpga_temp_sens.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x3060" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
- </connection>
- <connection
-   kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="reg_unb_pmbus.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x0300" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_fpga_voltage_sens.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x00c0" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="jesd204b.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0xc000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_bsn_monitor_input.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0400" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
+   end="reg_wg.mem">
+  <parameter name="baseAddress" value="0x0200" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_bsn_source.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x30c0" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_bsn_scheduler.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x30d0" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="reg_dp_shiftram.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3040" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="ram_wg.mem">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x00010000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="reg_diag_data_buffer_bsn.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x8000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+   version="18.0"
+   start="cpu0.data_master"
+   end="reg_aduh_monitor.mem">
+  <parameter name="baseAddress" value="0x0100" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="ram_diag_data_buffer_bsn.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x00800000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+   version="18.0"
+   start="cpu0.data_master"
+   end="ram_aduh_monitor.mem">
+  <parameter name="baseAddress" value="0x8000" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="reg_aduh_monitor.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x0200" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+   version="18.0"
+   start="cpu0.data_master"
+   end="pio_jesd_ctrl.mem">
+  <parameter name="baseAddress" value="0x3008" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="ram_aduh_monitor.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x4000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+   version="18.0"
+   start="cpu0.data_master"
+   end="ram_diag_data_buffer_bsn.mem">
+  <parameter name="baseAddress" value="0x00800000" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="reg_wg.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x0100" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+   version="18.0"
+   start="cpu0.data_master"
+   end="reg_diag_data_buffer_bsn.mem">
+  <parameter name="baseAddress" value="0x4000" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="pio_jesd_ctrl.mem">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x3008" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+   version="18.0"
+   start="cpu0.data_master"
+   end="rom_system_info.mem">
+  <parameter name="baseAddress" value="0x1000" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="avs_eth_0.mms_ram">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x00040000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="avs_eth_0.mms_reg">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0080" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="avs_eth_0.mms_tse">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x2000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
- </connection>
- <connection
-   kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
-   end="onchip_memory2_0.s1">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x00020000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="pio_wdi.s1">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3010" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.data_master"
+   version="18.0"
+   start="cpu0.data_master"
    end="timer_0.s1">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3020" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.instruction_master"
-   end="cpu_0.debug_mem_slave">
-  <parameter name="arbitrationPriority" value="1" />
+   version="18.0"
+   start="cpu0.data_master"
+   end="onchip_memory2_0.s1">
+  <parameter name="baseAddress" value="0x00020000" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="18.0"
+   start="cpu0.instruction_master"
+   end="cpu0.debug_mem_slave">
   <parameter name="baseAddress" value="0x3800" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
  <connection
    kind="avalon"
-   version="19.4"
-   start="cpu_0.instruction_master"
+   version="18.0"
+   start="cpu0.instruction_master"
    end="onchip_memory2_0.s1">
-  <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x00020000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.syncResets" value="FALSE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
  </connection>
- <connection kind="clock" version="19.4" start="clk_0.clk" end="jtag_uart_0.clk" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="pio_wdi.clk" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="timer_0.clk" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="cpu_0.clk" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="jtag_uart_0.clk" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="pio_wdi.clk" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="timer_0.clk" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="cpu0.clk" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="onchip_memory2_0.clk1" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="avs_eth_0.mm" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="avs_eth_0.mm" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_unb_sens.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="rom_system_info.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="pio_system_info.system" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="reg_wdi.system" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="reg_remu.system" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="reg_epcs.system" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_wdi.system" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_remu.system" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_epcs.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_dpmm_ctrl.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_mmdp_data.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_dpmm_data.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_mmdp_ctrl.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_fpga_temp_sens.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_unb_pmbus.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_fpga_voltage_sens.system" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="jesd204b.system" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="jesd204b.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_bsn_monitor_input.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_bsn_source.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_bsn_scheduler.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
    end="reg_dp_shiftram.system" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="ram_wg.system" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="ram_wg.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
-   end="reg_diag_data_buffer_bsn.system" />
+   end="reg_aduh_monitor.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
-   end="ram_diag_data_buffer_bsn.system" />
+   end="ram_aduh_monitor.system" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_wg.system" />
+ <connection kind="clock" version="18.0" start="clk_0.clk" end="pio_pps.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
-   end="reg_aduh_monitor.system" />
+   end="pio_jesd_ctrl.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
-   end="ram_aduh_monitor.system" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="reg_wg.system" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="pio_pps.system" />
+   end="ram_diag_data_buffer_bsn.system" />
  <connection
    kind="clock"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk"
-   end="pio_jesd_ctrl.system" />
+   end="reg_diag_data_buffer_bsn.system" />
  <connection
    kind="interrupt"
-   version="19.4"
-   start="cpu_0.irq"
+   version="18.0"
+   start="cpu0.irq"
    end="avs_eth_0.interrupt">
-  <parameter name="irqNumber" value="0" />
+  <parameter name="irqNumber" value="2" />
  </connection>
  <connection
    kind="interrupt"
-   version="19.4"
-   start="cpu_0.irq"
-   end="jtag_uart_0.irq">
+   version="18.0"
+   start="cpu0.irq"
+   end="jtag_uart_0.irq" />
+ <connection kind="interrupt" version="18.0" start="cpu0.irq" end="timer_0.irq">
   <parameter name="irqNumber" value="1" />
  </connection>
- <connection kind="interrupt" version="19.4" start="cpu_0.irq" end="timer_0.irq">
-  <parameter name="irqNumber" value="2" />
- </connection>
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="avs_eth_0.mm_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="jtag_uart_0.reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="pio_wdi.reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="timer_0.reset" />
- <connection kind="reset" version="19.4" start="clk_0.clk_reset" end="cpu_0.reset" />
+ <connection kind="reset" version="18.0" start="clk_0.clk_reset" end="cpu0.reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="onchip_memory2_0.reset1" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_unb_sens.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="rom_system_info.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="pio_system_info.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="pio_pps.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_wdi.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_remu.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_epcs.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_dpmm_ctrl.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_mmdp_data.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_mmdp_ctrl.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_dpmm_data.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_fpga_temp_sens.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_unb_pmbus.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_fpga_voltage_sens.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="jesd204b.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_bsn_monitor_input.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_bsn_source.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_bsn_scheduler.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="reg_dp_shiftram.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
    end="ram_wg.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
-   end="reg_diag_data_buffer_bsn.system_reset" />
+   end="reg_aduh_monitor.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
-   end="ram_diag_data_buffer_bsn.system_reset" />
+   end="ram_aduh_monitor.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
-   end="reg_aduh_monitor.system_reset" />
+   end="reg_wg.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
-   end="ram_aduh_monitor.system_reset" />
+   end="pio_jesd_ctrl.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
-   end="reg_wg.system_reset" />
+   end="ram_diag_data_buffer_bsn.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
+   version="18.0"
    start="clk_0.clk_reset"
-   end="pio_jesd_ctrl.system_reset" />
+   end="reg_diag_data_buffer_bsn.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
+   version="18.0"
+   start="cpu0.debug_reset_request"
    end="avs_eth_0.mm_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
+   version="18.0"
+   start="cpu0.debug_reset_request"
    end="jtag_uart_0.reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
+   version="18.0"
+   start="cpu0.debug_reset_request"
    end="pio_wdi.reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
+   version="18.0"
+   start="cpu0.debug_reset_request"
    end="timer_0.reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="cpu_0.reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="cpu0.reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
+   version="18.0"
+   start="cpu0.debug_reset_request"
    end="onchip_memory2_0.reset1" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_unb_sens.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="jesd204b.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="rom_system_info.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="pio_jesd_ctrl.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="pio_system_info.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="pio_pps.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="pio_pps.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="pio_system_info.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_wdi.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="ram_aduh_monitor.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_remu.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="ram_diag_data_buffer_bsn.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_epcs.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="ram_wg.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_dpmm_ctrl.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_aduh_monitor.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_mmdp_data.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_bsn_monitor_input.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_dpmm_data.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_bsn_scheduler.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_mmdp_ctrl.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_bsn_source.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_fpga_temp_sens.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_diag_data_buffer_bsn.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_unb_pmbus.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_dp_shiftram.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_fpga_voltage_sens.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_dpmm_ctrl.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="jesd204b.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_dpmm_data.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="ram_aduh_monitor.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_epcs.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="ram_diag_data_buffer_bsn.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_fpga_temp_sens.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="ram_wg.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_fpga_voltage_sens.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_aduh_monitor.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_mmdp_ctrl.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_bsn_monitor_input.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_mmdp_data.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_bsn_scheduler.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_remu.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_bsn_source.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_unb_pmbus.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_diag_data_buffer_bsn.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_unb_sens.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="reg_dp_shiftram.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="reg_wdi.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
+   version="18.0"
+   start="cpu0.debug_reset_request"
    end="reg_wg.system_reset" />
  <connection
    kind="reset"
-   version="19.4"
-   start="cpu_0.debug_reset_request"
-   end="pio_jesd_ctrl.system_reset" />
+   version="18.0"
+   start="cpu0.debug_reset_request"
+   end="rom_system_info.system_reset" />
+ <interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+ <interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="0" />
 </system>
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/hdllib.cfg
index 0490ed1c0fe211f77864771afc0cee7eb9e36bb3..d2d66a2cb73c41d96ae3de0aef988ec37c9867fc 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/hdllib.cfg
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_6ch_200MHz/hdllib.cfg
@@ -39,19 +39,40 @@ quartus_qip_files =
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc_6ch_200MHz/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc.qip
 
 quartus_ip_files =
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_eth_0.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_0.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_1.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_2.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_3.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_4.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_5.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_6.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_7.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_common_mm_8.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_avs_eth_0.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_clk_0.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_cpu_0.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jesd204b.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jtag_uart_0.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_nios2_gen2_0.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_nios2_gen2_1.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_onchip_memory2_0.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_pps.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_system_info.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_pio_wdi.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_aduh_monitor.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buf_bsn.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buf_jesd.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_wg.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_aduh_monitor.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_scheduler.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_source.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buf_bsn.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buf_jesd.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_ctrl.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dpmm_data.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_selector.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_shiftram.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_epcs.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_temp_sens.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_fpga_voltage_sens.ip
@@ -61,18 +82,8 @@ quartus_ip_files =
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_pmbus.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_unb_sens.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wdi.ip
+    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wg.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_rom_system_info.ip
     $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_timer_0.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_monitor_input.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_wg.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_aduh_monitor.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_diag_data_buffer_bsn.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_source.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_bsn_scheduler.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_reg_dp_shiftram.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_wg.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_aduh_monitor.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_ram_diag_data_buffer_bsn.ip
-    $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_lofar2_unb2b_adc/qsys_lofar2_unb2b_adc_jesd204b.ip
 
 nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd
index 4b605b24e00aa67ef7805372f7507793bd8db54e..29cc850fb5b12165bad13e28a67c0dcf77247bb1 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd
@@ -440,21 +440,21 @@ BEGIN
       reg_mmdp_ctrl_writedata_export            => reg_mmdp_ctrl_mosi.wrdata(c_word_w-1 DOWNTO 0),
 
 
-      ram_diag_data_buf_bsn_clk_export          => OPEN,
-      ram_diag_data_buf_bsn_reset_export        => OPEN,
-      ram_diag_data_buf_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(21-1 DOWNTO 0), -- 22 = ceil_log2(12  * 256k), so maximum possible data buffer size is 256 kSamples
-      ram_diag_data_buf_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
-      ram_diag_data_buf_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w-1 DOWNTO 0),
-      ram_diag_data_buf_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
-      ram_diag_data_buf_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_diag_data_buf_bsn_reset_export        => OPEN,
-      reg_diag_data_buf_bsn_clk_export          => OPEN,
-      reg_diag_data_buf_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(12-1 DOWNTO 0),
-      reg_diag_data_buf_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
-      reg_diag_data_buf_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_diag_data_buf_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
-      reg_diag_data_buf_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w-1 DOWNTO 0),
+      ram_diag_data_buffer_bsn_clk_export          => OPEN,
+      ram_diag_data_buffer_bsn_reset_export        => OPEN,
+      ram_diag_data_buffer_bsn_address_export      => ram_diag_data_buf_bsn_mosi.address(21-1 DOWNTO 0), -- 22 = ceil_log2(12  * 256k), so maximum possible data buffer size is 256 kSamples
+      ram_diag_data_buffer_bsn_write_export        => ram_diag_data_buf_bsn_mosi.wr,
+      ram_diag_data_buffer_bsn_writedata_export    => ram_diag_data_buf_bsn_mosi.wrdata(c_word_w-1 DOWNTO 0),
+      ram_diag_data_buffer_bsn_read_export         => ram_diag_data_buf_bsn_mosi.rd,
+      ram_diag_data_buffer_bsn_readdata_export     => ram_diag_data_buf_bsn_miso.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_diag_data_buffer_bsn_reset_export        => OPEN,
+      reg_diag_data_buffer_bsn_clk_export          => OPEN,
+      reg_diag_data_buffer_bsn_address_export      => reg_diag_data_buf_bsn_mosi.address(12-1 DOWNTO 0),
+      reg_diag_data_buffer_bsn_write_export        => reg_diag_data_buf_bsn_mosi.wr,
+      reg_diag_data_buffer_bsn_writedata_export    => reg_diag_data_buf_bsn_mosi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_diag_data_buffer_bsn_read_export         => reg_diag_data_buf_bsn_mosi.rd,
+      reg_diag_data_buffer_bsn_readdata_export     => reg_diag_data_buf_bsn_miso.rddata(c_word_w-1 DOWNTO 0),
 
       ram_aduh_monitor_clk_export               => OPEN,
       ram_aduh_monitor_reset_export             => OPEN,
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd
index b93e68987c8065ded3f9970770c8f3a25c68b115..c8d5c25862501cf4864df9487537e0ae659b0d53 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd
@@ -19,6 +19,7 @@
 --
 -------------------------------------------------------------------------------
 
+
 LIBRARY IEEE;
 USE IEEE.STD_LOGIC_1164.ALL;
 
@@ -28,215 +29,214 @@ PACKAGE qsys_lofar2_unb2b_adc_pkg IS
   -- this component declaration is copy-pasted from Quartus QSYS builder generated file:
   -- $RADIOHDL_WORK/build/unb2b/quartus/unb2b_test_ddr/qsys_unb2b_test/sim/qsys_unb2b_test.vhd
   -----------------------------------------------------------------------------
-  
+
     component qsys_lofar2_unb2b_adc is
         port (
-            avs_eth_0_clk_export                                         : out std_logic;                                        -- export
-            avs_eth_0_irq_export                                         : in  std_logic                     := 'X';             -- export
-            avs_eth_0_ram_address_export                                 : out std_logic_vector(9 downto 0);                     -- export
-            avs_eth_0_ram_read_export                                    : out std_logic;                                        -- export
-            avs_eth_0_ram_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            avs_eth_0_ram_write_export                                   : out std_logic;                                        -- export
-            avs_eth_0_ram_writedata_export                               : out std_logic_vector(31 downto 0);                    -- export
-            avs_eth_0_reg_address_export                                 : out std_logic_vector(3 downto 0);                     -- export
-            avs_eth_0_reg_read_export                                    : out std_logic;                                        -- export
-            avs_eth_0_reg_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            avs_eth_0_reg_write_export                                   : out std_logic;                                        -- export
-            avs_eth_0_reg_writedata_export                               : out std_logic_vector(31 downto 0);                    -- export
-            avs_eth_0_reset_export                                       : out std_logic;                                        -- export
-            avs_eth_0_tse_address_export                                 : out std_logic_vector(9 downto 0);                     -- export
-            avs_eth_0_tse_read_export                                    : out std_logic;                                        -- export
-            avs_eth_0_tse_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            avs_eth_0_tse_waitrequest_export                             : in  std_logic                     := 'X';             -- export
-            avs_eth_0_tse_write_export                                   : out std_logic;                                        -- export
-            avs_eth_0_tse_writedata_export                               : out std_logic_vector(31 downto 0);                    -- export
-            clk_clk                                                      : in  std_logic                     := 'X';             -- clk
-            jesd204b_address_export                                      : out std_logic_vector(11 downto 0);                     -- export
-            jesd204b_clk_export                                          : out std_logic;                                        -- export
-            jesd204b_read_export                                         : out std_logic;                                        -- export
-            jesd204b_readdata_export                                     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            jesd204b_reset_export                                        : out std_logic;                                        -- export
-            jesd204b_write_export                                        : out std_logic;                                        -- export
-            jesd204b_writedata_export                                    : out std_logic_vector(31 downto 0);                    -- export
-            reg_bsn_monitor_input_address_export                         : out std_logic_vector(7 downto 0);
-            reg_bsn_monitor_input_writedata_export                       : out std_logic_vector(31 downto 0);
-            reg_bsn_monitor_input_reset_export                           : out std_logic;
-            reg_bsn_monitor_input_clk_export                             : out std_logic;
-            reg_bsn_monitor_input_write_export                           : out std_logic;
-            reg_bsn_monitor_input_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => '0');
-            reg_bsn_monitor_input_read_export                            : out std_logic;
-            reg_wg_address_export                                        : out std_logic_vector(5 downto 0);
-            reg_wg_writedata_export                                      : out std_logic_vector(31 downto 0);
-            reg_wg_reset_export                                          : out std_logic;
-            reg_wg_clk_export                                            : out std_logic;
-            reg_wg_write_export                                          : out std_logic;
-            reg_wg_readdata_export                                       : in  std_logic_vector(31 downto 0) := (others => '0');
-            reg_wg_read_export                                           : out std_logic;
-            ram_wg_address_export                                        : out std_logic_vector(13 downto 0);
-            ram_wg_writedata_export                                      : out std_logic_vector(31 downto 0);
-            ram_wg_reset_export                                          : out std_logic;
-            ram_wg_clk_export                                            : out std_logic;
-            ram_wg_write_export                                          : out std_logic;
-            ram_wg_readdata_export                                       : in  std_logic_vector(31 downto 0) := (others => '0');
-            ram_wg_read_export                                           : out std_logic;
-            reg_dp_shiftram_address_export                               : out std_logic_vector(2 downto 0);
-            reg_dp_shiftram_writedata_export                             : out std_logic_vector(31 downto 0);
-            reg_dp_shiftram_reset_export                                 : out std_logic;
-            reg_dp_shiftram_clk_export                                   : out std_logic;
-            reg_dp_shiftram_write_export                                 : out std_logic;
-            reg_dp_shiftram_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => '0');
-            reg_dp_shiftram_read_export                                  : out std_logic;
-            reg_bsn_source_address_export                                : out std_logic_vector(1 downto 0);
-            reg_bsn_source_writedata_export                              : out std_logic_vector(31 downto 0);
-            reg_bsn_source_reset_export                                  : out std_logic;
-            reg_bsn_source_clk_export                                    : out std_logic;
-            reg_bsn_source_write_export                                  : out std_logic;
-            reg_bsn_source_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => '0');
-            reg_bsn_source_read_export                                   : out std_logic;
-            reg_bsn_scheduler_address_export                             : out std_logic_vector(0 downto 0);
-            reg_bsn_scheduler_writedata_export                           : out std_logic_vector(31 downto 0);
-            reg_bsn_scheduler_reset_export                               : out std_logic;
-            reg_bsn_scheduler_clk_export                                 : out std_logic;
-            reg_bsn_scheduler_write_export                               : out std_logic;
-            reg_bsn_scheduler_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => '0');
-            reg_bsn_scheduler_read_export                                : out std_logic;
-            pio_pps_address_export                                       : out std_logic_vector(0 downto 0);                     -- export
-            pio_pps_clk_export                                           : out std_logic;                                        -- export
-            pio_pps_read_export                                          : out std_logic;                                        -- export
-            pio_pps_readdata_export                                      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            pio_pps_reset_export                                         : out std_logic;                                        -- export
-            pio_pps_write_export                                         : out std_logic;                                        -- export
-            pio_pps_writedata_export                                     : out std_logic_vector(31 downto 0);                    -- export
-            pio_system_info_address_export                               : out std_logic_vector(4 downto 0);                     -- export
-            pio_system_info_clk_export                                   : out std_logic;                                        -- export
-            pio_system_info_read_export                                  : out std_logic;                                        -- export
-            pio_system_info_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            pio_system_info_reset_export                                 : out std_logic;                                        -- export
-            pio_system_info_write_export                                 : out std_logic;                                        -- export
-            pio_system_info_writedata_export                             : out std_logic_vector(31 downto 0);                    -- export
-            pio_wdi_external_connection_export                           : out std_logic;                                        -- export
-            reg_dpmm_ctrl_address_export                                 : out std_logic_vector(0 downto 0);                     -- export
-            reg_dpmm_ctrl_clk_export                                     : out std_logic;                                        -- export
-            reg_dpmm_ctrl_read_export                                    : out std_logic;                                        -- export
-            reg_dpmm_ctrl_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_dpmm_ctrl_reset_export                                   : out std_logic;                                        -- export
-            reg_dpmm_ctrl_write_export                                   : out std_logic;                                        -- export
-            reg_dpmm_ctrl_writedata_export                               : out std_logic_vector(31 downto 0);                    -- export
-            reg_dpmm_data_address_export                                 : out std_logic_vector(0 downto 0);                     -- export
-            reg_dpmm_data_clk_export                                     : out std_logic;                                        -- export
-            reg_dpmm_data_read_export                                    : out std_logic;                                        -- export
-            reg_dpmm_data_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_dpmm_data_reset_export                                   : out std_logic;                                        -- export
-            reg_dpmm_data_write_export                                   : out std_logic;                                        -- export
-            reg_dpmm_data_writedata_export                               : out std_logic_vector(31 downto 0);                    -- export
-            reg_epcs_address_export                                      : out std_logic_vector(2 downto 0);                     -- export
-            reg_epcs_clk_export                                          : out std_logic;                                        -- export
-            reg_epcs_read_export                                         : out std_logic;                                        -- export
-            reg_epcs_readdata_export                                     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_epcs_reset_export                                        : out std_logic;                                        -- export
-            reg_epcs_write_export                                        : out std_logic;                                        -- export
-            reg_epcs_writedata_export                                    : out std_logic_vector(31 downto 0);                    -- export
-            reg_fpga_temp_sens_address_export                            : out std_logic_vector(2 downto 0);                     -- export
-            reg_fpga_temp_sens_clk_export                                : out std_logic;                                        -- export
-            reg_fpga_temp_sens_read_export                               : out std_logic;                                        -- export
-            reg_fpga_temp_sens_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_fpga_temp_sens_reset_export                              : out std_logic;                                        -- export
-            reg_fpga_temp_sens_write_export                              : out std_logic;                                        -- export
-            reg_fpga_temp_sens_writedata_export                          : out std_logic_vector(31 downto 0);                    -- export
-            reg_fpga_voltage_sens_address_export                         : out std_logic_vector(3 downto 0);                     -- export
-            reg_fpga_voltage_sens_clk_export                             : out std_logic;                                        -- export
-            reg_fpga_voltage_sens_read_export                            : out std_logic;                                        -- export
-            reg_fpga_voltage_sens_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_fpga_voltage_sens_reset_export                           : out std_logic;                                        -- export
-            reg_fpga_voltage_sens_write_export                           : out std_logic;                                        -- export
-            reg_fpga_voltage_sens_writedata_export                       : out std_logic_vector(31 downto 0);                    -- export
-            reg_mmdp_ctrl_address_export                                 : out std_logic_vector(0 downto 0);                     -- export
-            reg_mmdp_ctrl_clk_export                                     : out std_logic;                                        -- export
-            reg_mmdp_ctrl_read_export                                    : out std_logic;                                        -- export
-            reg_mmdp_ctrl_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_mmdp_ctrl_reset_export                                   : out std_logic;                                        -- export
-            reg_mmdp_ctrl_write_export                                   : out std_logic;                                        -- export
-            reg_mmdp_ctrl_writedata_export                               : out std_logic_vector(31 downto 0);                    -- export
-            reg_mmdp_data_address_export                                 : out std_logic_vector(0 downto 0);                     -- export
-            reg_mmdp_data_clk_export                                     : out std_logic;                                        -- export
-            reg_mmdp_data_read_export                                    : out std_logic;                                        -- export
-            reg_mmdp_data_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_mmdp_data_reset_export                                   : out std_logic;                                        -- export
-            reg_mmdp_data_write_export                                   : out std_logic;                                        -- export
-            reg_mmdp_data_writedata_export                               : out std_logic_vector(31 downto 0);                    -- export
-            reg_remu_address_export                                      : out std_logic_vector(2 downto 0);                     -- export
-            reg_remu_clk_export                                          : out std_logic;                                        -- export
-            reg_remu_read_export                                         : out std_logic;                                        -- export
-            reg_remu_readdata_export                                     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_remu_reset_export                                        : out std_logic;                                        -- export
-            reg_remu_write_export                                        : out std_logic;                                        -- export
-            reg_remu_writedata_export                                    : out std_logic_vector(31 downto 0);                    -- export
-            reg_unb_pmbus_address_export                                 : out std_logic_vector(5 downto 0);                     -- export
-            reg_unb_pmbus_clk_export                                     : out std_logic;                                        -- export
-            reg_unb_pmbus_read_export                                    : out std_logic;                                        -- export
-            reg_unb_pmbus_readdata_export                                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_unb_pmbus_reset_export                                   : out std_logic;                                        -- export
-            reg_unb_pmbus_write_export                                   : out std_logic;                                        -- export
-            reg_unb_pmbus_writedata_export                               : out std_logic_vector(31 downto 0);                    -- export
-            reg_unb_sens_address_export                                  : out std_logic_vector(5 downto 0);                     -- export
-            reg_unb_sens_clk_export                                      : out std_logic;                                        -- export
-            reg_unb_sens_read_export                                     : out std_logic;                                        -- export
-            reg_unb_sens_readdata_export                                 : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_unb_sens_reset_export                                    : out std_logic;                                        -- export
-            reg_unb_sens_write_export                                    : out std_logic;                                        -- export
-            reg_unb_sens_writedata_export                                : out std_logic_vector(31 downto 0);                    -- export
-            reg_wdi_address_export                                       : out std_logic_vector(0 downto 0);                     -- export
-            reg_wdi_clk_export                                           : out std_logic;                                        -- export
-            reg_wdi_read_export                                          : out std_logic;                                        -- export
-            reg_wdi_readdata_export                                      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_wdi_reset_export                                         : out std_logic;                                        -- export
-            reg_wdi_write_export                                         : out std_logic;                                        -- export
-            reg_wdi_writedata_export                                     : out std_logic_vector(31 downto 0);                    -- export
-            reset_reset_n                                                : in  std_logic                     := 'X';             -- reset_n
-            rom_system_info_address_export                               : out std_logic_vector(9 downto 0);                     -- export
-            rom_system_info_clk_export                                   : out std_logic;                                        -- export
-            rom_system_info_read_export                                  : out std_logic;                                        -- export
-            rom_system_info_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            rom_system_info_reset_export                                 : out std_logic;                                        -- export
-            rom_system_info_write_export                                 : out std_logic;                                        -- export
-            rom_system_info_writedata_export                             : out std_logic_vector(31 downto 0);                     -- export
-            ram_aduh_monitor_address_export                              : out std_logic_vector(11 downto 0);                    -- export
-            ram_aduh_monitor_clk_export                                  : out std_logic;                                        -- export
-            ram_aduh_monitor_read_export                                 : out std_logic;                                        -- export
-            ram_aduh_monitor_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            ram_aduh_monitor_reset_export                                : out std_logic;                                        -- export
-            ram_aduh_monitor_write_export                                : out std_logic;                                        -- export
-            ram_aduh_monitor_writedata_export                            : out std_logic_vector(31 downto 0);                    -- export
-            reg_aduh_monitor_address_export                              : out std_logic_vector(5 downto 0);                     -- export
-            reg_aduh_monitor_clk_export                                  : out std_logic;                                        -- export
-            reg_aduh_monitor_read_export                                 : out std_logic;                                        -- export
-            reg_aduh_monitor_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_aduh_monitor_reset_export                                : out std_logic;                                        -- export
-            reg_aduh_monitor_write_export                                : out std_logic;                                        -- export
-            reg_aduh_monitor_writedata_export                            : out std_logic_vector(31 downto 0);                    -- export
-            ram_diag_data_buf_bsn_address_export                         : out std_logic_vector(20 downto 0);                    -- export
-            ram_diag_data_buf_bsn_clk_export                             : out std_logic;                                        -- export
-            ram_diag_data_buf_bsn_read_export                            : out std_logic;                                        -- export
-            ram_diag_data_buf_bsn_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            ram_diag_data_buf_bsn_reset_export                           : out std_logic;                                        -- export
-            ram_diag_data_buf_bsn_write_export                           : out std_logic;                                        -- export
-            ram_diag_data_buf_bsn_writedata_export                       : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_data_buf_bsn_address_export                         : out std_logic_vector(11 downto 0);                     -- export
-            reg_diag_data_buf_bsn_clk_export                             : out std_logic;                                        -- export
-            reg_diag_data_buf_bsn_read_export                            : out std_logic;                                        -- export
-            reg_diag_data_buf_bsn_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_data_buf_bsn_reset_export                           : out std_logic;                                        -- export
-            reg_diag_data_buf_bsn_write_export                           : out std_logic;                                        -- export
-            reg_diag_data_buf_bsn_writedata_export                       : out std_logic_vector(31 downto 0);                    -- export
-            pio_jesd_ctrl_reset_export               : out std_logic;                                        -- export
-            pio_jesd_ctrl_clk_export                 : out std_logic;                                        -- export
-            pio_jesd_ctrl_address_export             : out std_logic_vector(0 downto 0);                     -- export
-            pio_jesd_ctrl_write_export               : out std_logic;                                        -- export
-            pio_jesd_ctrl_writedata_export           : out std_logic_vector(31 downto 0);                    -- export
-            pio_jesd_ctrl_read_export                : out std_logic;                                        -- export
-            pio_jesd_ctrl_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X') -- export
+            avs_eth_0_clk_export                      : out std_logic;                                        -- export
+            avs_eth_0_irq_export                      : in  std_logic                     := 'X';             -- export
+            avs_eth_0_ram_address_export              : out std_logic_vector(9 downto 0);                     -- export
+            avs_eth_0_ram_read_export                 : out std_logic;                                        -- export
+            avs_eth_0_ram_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            avs_eth_0_ram_write_export                : out std_logic;                                        -- export
+            avs_eth_0_ram_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            avs_eth_0_reg_address_export              : out std_logic_vector(3 downto 0);                     -- export
+            avs_eth_0_reg_read_export                 : out std_logic;                                        -- export
+            avs_eth_0_reg_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            avs_eth_0_reg_write_export                : out std_logic;                                        -- export
+            avs_eth_0_reg_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            avs_eth_0_reset_export                    : out std_logic;                                        -- export
+            avs_eth_0_tse_address_export              : out std_logic_vector(9 downto 0);                     -- export
+            avs_eth_0_tse_read_export                 : out std_logic;                                        -- export
+            avs_eth_0_tse_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            avs_eth_0_tse_waitrequest_export          : in  std_logic                     := 'X';             -- export
+            avs_eth_0_tse_write_export                : out std_logic;                                        -- export
+            avs_eth_0_tse_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            clk_clk                                   : in  std_logic                     := 'X';             -- clk
+            jesd204b_address_export                   : out std_logic_vector(11 downto 0);                    -- export
+            jesd204b_clk_export                       : out std_logic;                                        -- export
+            jesd204b_read_export                      : out std_logic;                                        -- export
+            jesd204b_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            jesd204b_reset_export                     : out std_logic;                                        -- export
+            jesd204b_write_export                     : out std_logic;                                        -- export
+            jesd204b_writedata_export                 : out std_logic_vector(31 downto 0);                    -- export
+            pio_jesd_ctrl_address_export              : out std_logic_vector(0 downto 0);                     -- export
+            pio_jesd_ctrl_clk_export                  : out std_logic;                                        -- export
+            pio_jesd_ctrl_read_export                 : out std_logic;                                        -- export
+            pio_jesd_ctrl_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            pio_jesd_ctrl_reset_export                : out std_logic;                                        -- export
+            pio_jesd_ctrl_write_export                : out std_logic;                                        -- export
+            pio_jesd_ctrl_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            pio_pps_address_export                    : out std_logic_vector(0 downto 0);                     -- export
+            pio_pps_clk_export                        : out std_logic;                                        -- export
+            pio_pps_read_export                       : out std_logic;                                        -- export
+            pio_pps_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            pio_pps_reset_export                      : out std_logic;                                        -- export
+            pio_pps_write_export                      : out std_logic;                                        -- export
+            pio_pps_writedata_export                  : out std_logic_vector(31 downto 0);                    -- export
+            pio_system_info_address_export            : out std_logic_vector(4 downto 0);                     -- export
+            pio_system_info_clk_export                : out std_logic;                                        -- export
+            pio_system_info_read_export               : out std_logic;                                        -- export
+            pio_system_info_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            pio_system_info_reset_export              : out std_logic;                                        -- export
+            pio_system_info_write_export              : out std_logic;                                        -- export
+            pio_system_info_writedata_export          : out std_logic_vector(31 downto 0);                    -- export
+            pio_wdi_external_connection_export        : out std_logic;                                        -- export
+            ram_aduh_monitor_address_export           : out std_logic_vector(11 downto 0);                    -- export
+            ram_aduh_monitor_clk_export               : out std_logic;                                        -- export
+            ram_aduh_monitor_read_export              : out std_logic;                                        -- export
+            ram_aduh_monitor_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            ram_aduh_monitor_reset_export             : out std_logic;                                        -- export
+            ram_aduh_monitor_write_export             : out std_logic;                                        -- export
+            ram_aduh_monitor_writedata_export         : out std_logic_vector(31 downto 0);                    -- export
+            ram_diag_data_buffer_bsn_address_export   : out std_logic_vector(20 downto 0);                    -- export
+            ram_diag_data_buffer_bsn_clk_export       : out std_logic;                                        -- export
+            ram_diag_data_buffer_bsn_read_export      : out std_logic;                                        -- export
+            ram_diag_data_buffer_bsn_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            ram_diag_data_buffer_bsn_reset_export     : out std_logic;                                        -- export
+            ram_diag_data_buffer_bsn_write_export     : out std_logic;                                        -- export
+            ram_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0);                    -- export
+            ram_wg_address_export                     : out std_logic_vector(13 downto 0);                    -- export
+            ram_wg_clk_export                         : out std_logic;                                        -- export
+            ram_wg_read_export                        : out std_logic;                                        -- export
+            ram_wg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            ram_wg_reset_export                       : out std_logic;                                        -- export
+            ram_wg_write_export                       : out std_logic;                                        -- export
+            ram_wg_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            reg_aduh_monitor_address_export           : out std_logic_vector(5 downto 0);                     -- export
+            reg_aduh_monitor_clk_export               : out std_logic;                                        -- export
+            reg_aduh_monitor_read_export              : out std_logic;                                        -- export
+            reg_aduh_monitor_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_aduh_monitor_reset_export             : out std_logic;                                        -- export
+            reg_aduh_monitor_write_export             : out std_logic;                                        -- export
+            reg_aduh_monitor_writedata_export         : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_monitor_input_address_export      : out std_logic_vector(7 downto 0);                     -- export
+            reg_bsn_monitor_input_clk_export          : out std_logic;                                        -- export
+            reg_bsn_monitor_input_read_export         : out std_logic;                                        -- export
+            reg_bsn_monitor_input_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_monitor_input_reset_export        : out std_logic;                                        -- export
+            reg_bsn_monitor_input_write_export        : out std_logic;                                        -- export
+            reg_bsn_monitor_input_writedata_export    : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_scheduler_address_export          : out std_logic_vector(0 downto 0);                     -- export
+            reg_bsn_scheduler_clk_export              : out std_logic;                                        -- export
+            reg_bsn_scheduler_read_export             : out std_logic;                                        -- export
+            reg_bsn_scheduler_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_scheduler_reset_export            : out std_logic;                                        -- export
+            reg_bsn_scheduler_write_export            : out std_logic;                                        -- export
+            reg_bsn_scheduler_writedata_export        : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_source_address_export             : out std_logic_vector(1 downto 0);                     -- export
+            reg_bsn_source_clk_export                 : out std_logic;                                        -- export
+            reg_bsn_source_read_export                : out std_logic;                                        -- export
+            reg_bsn_source_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_source_reset_export               : out std_logic;                                        -- export
+            reg_bsn_source_write_export               : out std_logic;                                        -- export
+            reg_bsn_source_writedata_export           : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_data_buffer_bsn_address_export   : out std_logic_vector(11 downto 0);                    -- export
+            reg_diag_data_buffer_bsn_clk_export       : out std_logic;                                        -- export
+            reg_diag_data_buffer_bsn_read_export      : out std_logic;                                        -- export
+            reg_diag_data_buffer_bsn_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_data_buffer_bsn_reset_export     : out std_logic;                                        -- export
+            reg_diag_data_buffer_bsn_write_export     : out std_logic;                                        -- export
+            reg_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0);                    -- export
+            reg_dp_shiftram_address_export            : out std_logic_vector(2 downto 0);                     -- export
+            reg_dp_shiftram_clk_export                : out std_logic;                                        -- export
+            reg_dp_shiftram_read_export               : out std_logic;                                        -- export
+            reg_dp_shiftram_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_dp_shiftram_reset_export              : out std_logic;                                        -- export
+            reg_dp_shiftram_write_export              : out std_logic;                                        -- export
+            reg_dp_shiftram_writedata_export          : out std_logic_vector(31 downto 0);                    -- export
+            reg_dpmm_ctrl_address_export              : out std_logic_vector(0 downto 0);                     -- export
+            reg_dpmm_ctrl_clk_export                  : out std_logic;                                        -- export
+            reg_dpmm_ctrl_read_export                 : out std_logic;                                        -- export
+            reg_dpmm_ctrl_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_dpmm_ctrl_reset_export                : out std_logic;                                        -- export
+            reg_dpmm_ctrl_write_export                : out std_logic;                                        -- export
+            reg_dpmm_ctrl_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            reg_dpmm_data_address_export              : out std_logic_vector(0 downto 0);                     -- export
+            reg_dpmm_data_clk_export                  : out std_logic;                                        -- export
+            reg_dpmm_data_read_export                 : out std_logic;                                        -- export
+            reg_dpmm_data_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_dpmm_data_reset_export                : out std_logic;                                        -- export
+            reg_dpmm_data_write_export                : out std_logic;                                        -- export
+            reg_dpmm_data_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            reg_epcs_address_export                   : out std_logic_vector(2 downto 0);                     -- export
+            reg_epcs_clk_export                       : out std_logic;                                        -- export
+            reg_epcs_read_export                      : out std_logic;                                        -- export
+            reg_epcs_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_epcs_reset_export                     : out std_logic;                                        -- export
+            reg_epcs_write_export                     : out std_logic;                                        -- export
+            reg_epcs_writedata_export                 : out std_logic_vector(31 downto 0);                    -- export
+            reg_fpga_temp_sens_address_export         : out std_logic_vector(2 downto 0);                     -- export
+            reg_fpga_temp_sens_clk_export             : out std_logic;                                        -- export
+            reg_fpga_temp_sens_read_export            : out std_logic;                                        -- export
+            reg_fpga_temp_sens_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_fpga_temp_sens_reset_export           : out std_logic;                                        -- export
+            reg_fpga_temp_sens_write_export           : out std_logic;                                        -- export
+            reg_fpga_temp_sens_writedata_export       : out std_logic_vector(31 downto 0);                    -- export
+            reg_fpga_voltage_sens_address_export      : out std_logic_vector(3 downto 0);                     -- export
+            reg_fpga_voltage_sens_clk_export          : out std_logic;                                        -- export
+            reg_fpga_voltage_sens_read_export         : out std_logic;                                        -- export
+            reg_fpga_voltage_sens_readdata_export     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_fpga_voltage_sens_reset_export        : out std_logic;                                        -- export
+            reg_fpga_voltage_sens_write_export        : out std_logic;                                        -- export
+            reg_fpga_voltage_sens_writedata_export    : out std_logic_vector(31 downto 0);                    -- export
+            reg_mmdp_ctrl_address_export              : out std_logic_vector(0 downto 0);                     -- export
+            reg_mmdp_ctrl_clk_export                  : out std_logic;                                        -- export
+            reg_mmdp_ctrl_read_export                 : out std_logic;                                        -- export
+            reg_mmdp_ctrl_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_mmdp_ctrl_reset_export                : out std_logic;                                        -- export
+            reg_mmdp_ctrl_write_export                : out std_logic;                                        -- export
+            reg_mmdp_ctrl_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            reg_mmdp_data_address_export              : out std_logic_vector(0 downto 0);                     -- export
+            reg_mmdp_data_clk_export                  : out std_logic;                                        -- export
+            reg_mmdp_data_read_export                 : out std_logic;                                        -- export
+            reg_mmdp_data_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_mmdp_data_reset_export                : out std_logic;                                        -- export
+            reg_mmdp_data_write_export                : out std_logic;                                        -- export
+            reg_mmdp_data_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            reg_remu_address_export                   : out std_logic_vector(2 downto 0);                     -- export
+            reg_remu_clk_export                       : out std_logic;                                        -- export
+            reg_remu_read_export                      : out std_logic;                                        -- export
+            reg_remu_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_remu_reset_export                     : out std_logic;                                        -- export
+            reg_remu_write_export                     : out std_logic;                                        -- export
+            reg_remu_writedata_export                 : out std_logic_vector(31 downto 0);                    -- export
+            reg_unb_pmbus_address_export              : out std_logic_vector(5 downto 0);                     -- export
+            reg_unb_pmbus_clk_export                  : out std_logic;                                        -- export
+            reg_unb_pmbus_read_export                 : out std_logic;                                        -- export
+            reg_unb_pmbus_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_unb_pmbus_reset_export                : out std_logic;                                        -- export
+            reg_unb_pmbus_write_export                : out std_logic;                                        -- export
+            reg_unb_pmbus_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            reg_unb_sens_address_export               : out std_logic_vector(5 downto 0);                     -- export
+            reg_unb_sens_clk_export                   : out std_logic;                                        -- export
+            reg_unb_sens_read_export                  : out std_logic;                                        -- export
+            reg_unb_sens_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_unb_sens_reset_export                 : out std_logic;                                        -- export
+            reg_unb_sens_write_export                 : out std_logic;                                        -- export
+            reg_unb_sens_writedata_export             : out std_logic_vector(31 downto 0);                    -- export
+            reg_wdi_address_export                    : out std_logic_vector(0 downto 0);                     -- export
+            reg_wdi_clk_export                        : out std_logic;                                        -- export
+            reg_wdi_read_export                       : out std_logic;                                        -- export
+            reg_wdi_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_wdi_reset_export                      : out std_logic;                                        -- export
+            reg_wdi_write_export                      : out std_logic;                                        -- export
+            reg_wdi_writedata_export                  : out std_logic_vector(31 downto 0);                    -- export
+            reg_wg_address_export                     : out std_logic_vector(5 downto 0);                     -- export
+            reg_wg_clk_export                         : out std_logic;                                        -- export
+            reg_wg_read_export                        : out std_logic;                                        -- export
+            reg_wg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_wg_reset_export                       : out std_logic;                                        -- export
+            reg_wg_write_export                       : out std_logic;                                        -- export
+            reg_wg_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            reset_reset_n                             : in  std_logic                     := 'X';             -- reset_n
+            rom_system_info_address_export            : out std_logic_vector(9 downto 0);                     -- export
+            rom_system_info_clk_export                : out std_logic;                                        -- export
+            rom_system_info_read_export               : out std_logic;                                        -- export
+            rom_system_info_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            rom_system_info_reset_export              : out std_logic;                                        -- export
+            rom_system_info_write_export              : out std_logic;                                        -- export
+            rom_system_info_writedata_export          : out std_logic_vector(31 downto 0)                     -- export
         );
     end component qsys_lofar2_unb2b_adc;
-
 END qsys_lofar2_unb2b_adc_pkg;
 
diff --git a/libraries/base/dp/hdllib.cfg b/libraries/base/dp/hdllib.cfg
index 08537b9386a3559f408af39417fe3e639007a298..da71a5d70f0be663752818236304b02296339746 100644
--- a/libraries/base/dp/hdllib.cfg
+++ b/libraries/base/dp/hdllib.cfg
@@ -108,6 +108,9 @@ synth_files =
     src/vhdl/dp_bsn_monitor.vhd
     src/vhdl/dp_bsn_monitor_reg.vhd
     src/vhdl/mms_dp_bsn_monitor.vhd
+    src/vhdl/dp_bsn_monitor_v2.vhd
+    src/vhdl/dp_bsn_monitor_reg_v2.vhd
+    src/vhdl/mms_dp_bsn_monitor_v2.vhd
     src/vhdl/dp_distribute.vhd
     src/vhdl/dp_ram_from_mm.vhd
     src/vhdl/dp_ram_from_mm_reg.vhd
@@ -193,6 +196,7 @@ test_bench_files =
     tb/vhdl/tb_dp_bsn_align.vhd
     tb/vhdl/tb_mms_dp_bsn_align.vhd
     tb/vhdl/tb_dp_bsn_monitor.vhd
+    tb/vhdl/tb_dp_bsn_monitor_v2.vhd
     tb/vhdl/tb_dp_bsn_source.vhd
     tb/vhdl/tb_dp_bsn_source_v2.vhd
     tb/vhdl/tb_mms_dp_bsn_source.vhd
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg_v2.vhd b/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg_v2.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..2c010ff1ce6a601a63f7a752870c2fbe435a100f
--- /dev/null
+++ b/libraries/base/dp/src/vhdl/dp_bsn_monitor_reg_v2.vhd
@@ -0,0 +1,130 @@
+-- --------------------------------------------------------------------------
+-- Copyright 2021
+-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+--
+-- Licensed under the Apache License, Version 2.0 (the "License");
+-- you may not use this file except in compliance with the License.
+-- You may obtain a copy of the License at
+--
+-- http://www.apache.org/licenses/LICENSE-2.0
+--
+-- Unless required by applicable law or agreed to in writing, software
+-- distributed under the License is distributed on an "AS IS" BASIS,
+-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+-- See the License for the specific language governing permissions and
+-- limitations under the License.
+-- --------------------------------------------------------------------------
+
+-- --------------------------------------------------------------------------
+-- Author:
+-- . Reinier vd Walle
+-- Purpose: Provide MM slave register for dp_bsn_monitor
+-- Description:
+--
+--  Read only monitor register for streams with sync.
+--
+--   31             24 23             16 15              8 7               0  wi
+--  |-----------------|-----------------|-----------------|-----------------|
+--  |              sync timeout = [2], ready_stable = [1], xon_stable = [0] |  0
+--  |-----------------------------------------------------------------------|
+--  |                            bsn_at_sync[31: 0]                         |  1
+--  |-----------------------------------------------------------------------|
+--  |                            bsn_at_sync[63:32]                         |  2
+--  |-----------------------------------------------------------------------|
+--  |                                nof_sop[31: 0]                         |  3
+--  |-----------------------------------------------------------------------|
+--  |                              nof_valid[31: 0]                         |  4
+--  |-----------------------------------------------------------------------|
+--  |                                nof_err[31: 0]                         |  5
+--  |-----------------------------------------------------------------------|
+--  |                                latency[31: 0]                         |  6
+--  |-----------------------------------------------------------------------|
+-- --------------------------------------------------------------------------
+
+LIBRARY IEEE, common_lib;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE common_lib.common_pkg.ALL;
+USE common_lib.common_mem_pkg.ALL;
+
+ENTITY dp_bsn_monitor_reg_v2 IS
+  GENERIC (
+    g_cross_clock_domain : BOOLEAN := TRUE  -- use FALSE when mm_clk and st_clk are the same, else use TRUE to cross the clock domain
+  );
+  PORT (
+    -- Clocks and reset
+    mm_rst                  : IN  STD_LOGIC;   -- reset synchronous with mm_clk
+    mm_clk                  : IN  STD_LOGIC;   -- memory-mapped bus clock
+    st_rst                  : IN  STD_LOGIC;   -- reset synchronous with st_clk
+    st_clk                  : IN  STD_LOGIC;   -- other clock domain clock
+    
+    -- Memory Mapped Slave in mm_clk domain
+    sla_in                  : IN  t_mem_mosi;  -- actual ranges defined by c_mm_reg
+    sla_out                 : OUT t_mem_miso;  -- actual ranges defined by c_mm_reg
+    
+    -- MM registers in st_clk domain
+    mon_evt                 : IN  STD_LOGIC;  -- pulses when new monitor data is available regarding the previous sync interval
+    mon_sync_timeout        : IN  STD_LOGIC;
+    -- . siso
+    mon_ready_stable        : IN  STD_LOGIC;
+    mon_xon_stable          : IN  STD_LOGIC;
+    -- . sosi
+    mon_bsn_at_sync         : IN  STD_LOGIC_VECTOR;
+    mon_nof_sop             : IN  STD_LOGIC_VECTOR;
+    mon_nof_err             : IN  STD_LOGIC_VECTOR;
+    mon_nof_valid           : IN  STD_LOGIC_VECTOR;
+    mon_latency             : IN  STD_LOGIC_VECTOR
+  );
+END dp_bsn_monitor_reg_v2;
+
+
+ARCHITECTURE str OF dp_bsn_monitor_reg_v2 IS
+
+  -- Define the actual size of the MM slave register
+  CONSTANT c_mm_reg : t_c_mem := (latency  => 1,
+                                  adr_w    => 3,
+                                  dat_w    => c_word_w,       -- Use MM bus data width = c_word_w = 32 for all MM registers
+                                  nof_dat  => 7,
+                                  init_sl  => '0');
+
+  -- Registers in st_clk domain
+  SIGNAL mon_reg      : STD_LOGIC_VECTOR(c_mm_reg.nof_dat*c_mm_reg.dat_w-1 DOWNTO 0) := (OTHERS=>'0');
+
+BEGIN
+
+  -- Register mapping
+  mon_reg(         3-1 DOWNTO          0) <= mon_sync_timeout & mon_ready_stable & mon_xon_stable;
+  mon_reg(1*c_word_w-1 DOWNTO          3) <= (OTHERS=>'0');
+  mon_reg(3*c_word_w-1 DOWNTO 1*c_word_w) <= RESIZE_UVEC(mon_bsn_at_sync, c_longword_w);
+  mon_reg(4*c_word_w-1 DOWNTO 3*c_word_w) <= RESIZE_UVEC(mon_nof_sop,     c_word_w);
+  mon_reg(5*c_word_w-1 DOWNTO 4*c_word_w) <= RESIZE_UVEC(mon_nof_valid,   c_word_w);
+  mon_reg(6*c_word_w-1 DOWNTO 5*c_word_w) <= RESIZE_UVEC(mon_nof_err,     c_word_w);
+  mon_reg(7*c_word_w-1 DOWNTO 6*c_word_w) <= RESIZE_UVEC(mon_latency,     c_word_w);
+
+  u_reg : ENTITY common_lib.common_reg_r_w_dc
+  GENERIC MAP (
+    g_cross_clock_domain => g_cross_clock_domain,
+    g_in_new_latency     => 1,    -- mon_evt to mon_reg has latency 1 in dp_bsn_monitor
+    g_readback           => FALSE,
+    g_reg                => c_mm_reg
+  )
+  PORT MAP (
+    -- Clocks and reset
+    mm_rst      => mm_rst,
+    mm_clk      => mm_clk,
+    st_rst      => st_rst,
+    st_clk      => st_clk,
+    
+    -- Memory Mapped Slave in mm_clk domain
+    sla_in      => sla_in,
+    sla_out     => sla_out,
+    
+    -- MM registers in st_clk domain
+    reg_wr_arr  => OPEN,
+    reg_rd_arr  => OPEN,
+    in_new      => mon_evt,
+    in_reg      => mon_reg,   -- read only
+    out_reg     => OPEN       -- no write
+  );
+  
+END str;
diff --git a/libraries/base/dp/src/vhdl/dp_bsn_monitor_v2.vhd b/libraries/base/dp/src/vhdl/dp_bsn_monitor_v2.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..fb11b08d928086630b22b7f35b1fd95b9644a950
--- /dev/null
+++ b/libraries/base/dp/src/vhdl/dp_bsn_monitor_v2.vhd
@@ -0,0 +1,332 @@
+-- --------------------------------------------------------------------------
+-- Copyright 2021
+-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+--
+-- Licensed under the Apache License, Version 2.0 (the "License");
+-- you may not use this file except in compliance with the License.
+-- You may obtain a copy of the License at
+--
+-- http://www.apache.org/licenses/LICENSE-2.0
+--
+-- Unless required by applicable law or agreed to in writing, software
+-- distributed under the License is distributed on an "AS IS" BASIS,
+-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+-- See the License for the specific language governing permissions and
+-- limitations under the License.
+-- --------------------------------------------------------------------------
+
+-- --------------------------------------------------------------------------
+-- Author:
+-- . Reinier vd Walle
+-- Purpose: Monitor the sosi and siso control of a stream per sync interval
+-- Description:
+--   The mon_evt pulses when new monitor output is available regarding the
+--   previous in_sosi.sync interval:
+--   . mon_sync_timeout        = '1' when the in_sosi.sync did not occur
+--   . mon_ready_stable        = '1' when ready was always '1' during last sync interval
+--   . mon_xon_stable          = '1' when xon   was always '1' during last sync interval
+--   . mon_bsn_at_sync         = BSN at sync
+--   . mon_nof_sop             = number of sop during last sync interval
+--   . mon_nof_err             = number of err at eop during last sync interval
+--   . mon_nof_valid           = number of valid during last sync interval;
+--   . mon_latency             = number of clock cycles between ref_sync and in_sosi.sync;
+--
+-- Remarks:
+-- . Assumes RL > 0 so each active valid indicates a new data (with RL = 0 the
+--   valid remains active until an acknowledge by ready)
+-- . If mon_sync_timeout = '1', all output vectors are forced -1 (all ones) to
+--   indicate they are not valid.
+-- --------------------------------------------------------------------------
+
+LIBRARY IEEE, common_lib;
+USE IEEE.std_logic_1164.all;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+USE work.dp_stream_pkg.ALL;
+
+
+ENTITY dp_bsn_monitor_v2 IS
+  GENERIC (
+    g_sync_timeout  : NATURAL := 200*10**6;  -- choose >= nof clk cycles per sync period
+    g_error_bi      : NATURAL := 0
+  );
+  PORT (
+    rst                     : IN  STD_LOGIC := '0';
+    clk                     : IN  STD_LOGIC;
+
+    -- ST interface
+    in_siso                 : IN  t_dp_siso;
+    in_sosi                 : IN  t_dp_sosi;
+    ref_sync                : IN  STD_LOGIC := '0';  -- reference sync input    
+    -- MM interface
+    -- . control   
+    mon_evt                 : OUT STD_LOGIC;  -- pulses when new monitor output is available regarding the previous sync interval
+    mon_sync                : OUT STD_LOGIC;  -- pulses every in_sosi.sync interval
+    mon_sync_timeout        : OUT STD_LOGIC;
+    -- . siso
+    mon_ready_stable        : OUT STD_LOGIC;
+    mon_xon_stable          : OUT STD_LOGIC;
+    -- . sosi
+    mon_bsn_at_sync         : OUT STD_LOGIC_VECTOR;
+    mon_nof_sop             : OUT STD_LOGIC_VECTOR;
+    mon_nof_err             : OUT STD_LOGIC_VECTOR;
+    mon_nof_valid           : OUT STD_LOGIC_VECTOR;
+    mon_latency             : OUT STD_LOGIC_VECTOR
+  );
+END dp_bsn_monitor_v2;
+
+
+ARCHITECTURE rtl OF dp_bsn_monitor_v2 IS
+
+  CONSTANT c_sync_timeout_w : NATURAL := ceil_log2(g_sync_timeout);
+  CONSTANT c_bsn_w          : NATURAL := mon_bsn_at_sync'LENGTH;
+  CONSTANT c_cnt_sop_w      : NATURAL := mon_nof_sop'LENGTH;
+  CONSTANT c_cnt_valid_w    : NATURAL := mon_nof_valid'LENGTH;
+  CONSTANT c_cnt_latency_w  : NATURAL := mon_latency'LENGTH;
+
+  CONSTANT inv_mon_bsn_at_sync       : STD_LOGIC_VECTOR(c_bsn_w-1 DOWNTO 0) := (OTHERS => '1');
+  CONSTANT inv_mon_nof_sop           : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0) := (OTHERS => '1');
+  CONSTANT inv_mon_nof_err           : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0) := (OTHERS => '1');
+  CONSTANT inv_mon_nof_valid         : STD_LOGIC_VECTOR(c_cnt_valid_w-1 DOWNTO 0) := (OTHERS => '1');
+  CONSTANT inv_mon_latency           : STD_LOGIC_VECTOR(c_cnt_latency_w-1 DOWNTO 0) := (OTHERS => '1');
+
+  SIGNAL ready                       : STD_LOGIC;
+  SIGNAL nxt_ready                   : STD_LOGIC;
+  SIGNAL ready_stable                : STD_LOGIC;
+  SIGNAL xon                         : STD_LOGIC;
+  SIGNAL nxt_xon                     : STD_LOGIC;
+  SIGNAL xon_stable                  : STD_LOGIC;
+  
+  SIGNAL err                         : STD_LOGIC;
+  SIGNAL nxt_err                     : STD_LOGIC;
+  SIGNAL valid                       : STD_LOGIC;
+  SIGNAL nxt_valid                   : STD_LOGIC;
+  SIGNAL sop                         : STD_LOGIC;
+  SIGNAL nxt_sop                     : STD_LOGIC;
+  SIGNAL sync                        : STD_LOGIC;
+  SIGNAL nxt_sync                    : STD_LOGIC;
+  SIGNAL bsn                         : STD_LOGIC_VECTOR(c_bsn_w-1 DOWNTO 0);
+  SIGNAL nxt_bsn                     : STD_LOGIC_VECTOR(c_bsn_w-1 DOWNTO 0);
+  SIGNAL cnt_sop                     : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0);
+  SIGNAL nof_sop                     : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0);
+  SIGNAL cnt_err                     : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0);   -- use c_cnt_sop_w, because at maximium all frames have an error
+  SIGNAL nof_err                     : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0);
+  SIGNAL cnt_valid                   : STD_LOGIC_VECTOR(c_cnt_valid_w-1 DOWNTO 0);
+  SIGNAL nof_valid                   : STD_LOGIC_VECTOR(c_cnt_valid_w-1 DOWNTO 0);
+  SIGNAL cnt_latency                 : STD_LOGIC_VECTOR(c_cnt_latency_w-1 DOWNTO 0);
+  SIGNAL latency                     : STD_LOGIC_VECTOR(c_cnt_latency_w-1 DOWNTO 0);
+
+  SIGNAL i_mon_ready_stable          : STD_LOGIC;
+  SIGNAL i_mon_xon_stable            : STD_LOGIC;
+  SIGNAL i_mon_bsn_at_sync           : STD_LOGIC_VECTOR(c_bsn_w-1 DOWNTO 0);
+  SIGNAL i_mon_nof_sop               : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0);
+  SIGNAL i_mon_nof_err               : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0);
+  SIGNAL i_mon_nof_valid             : STD_LOGIC_VECTOR(c_cnt_valid_w-1 DOWNTO 0);
+  SIGNAL i_mon_latency               : STD_LOGIC_VECTOR(c_cnt_latency_w-1 DOWNTO 0);
+  SIGNAL i_current_bsn               : STD_LOGIC_VECTOR(c_bsn_w-1 DOWNTO 0);
+
+  SIGNAL sync_timeout_cnt            : STD_LOGIC_VECTOR(c_sync_timeout_w-1 DOWNTO 0);
+  SIGNAL sync_timeout                : STD_LOGIC;
+  SIGNAL sync_timeout_n              : STD_LOGIC;
+  SIGNAL nxt_sync_timeout            : STD_LOGIC;
+  SIGNAL sync_timeout_revt           : STD_LOGIC;
+  
+  SIGNAL nxt_mon_evt                 : STD_LOGIC;
+  SIGNAL nxt_mon_ready_stable        : STD_LOGIC;
+  SIGNAL nxt_mon_xon_stable          : STD_LOGIC;
+  SIGNAL nxt_mon_bsn_at_sync         : STD_LOGIC_VECTOR(c_bsn_w-1 DOWNTO 0);
+  SIGNAL nxt_mon_nof_sop             : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0);
+  SIGNAL nxt_mon_nof_err             : STD_LOGIC_VECTOR(c_cnt_sop_w-1 DOWNTO 0);
+  SIGNAL nxt_mon_nof_valid           : STD_LOGIC_VECTOR(c_cnt_valid_w-1 DOWNTO 0);
+  SIGNAL nxt_mon_latency             : STD_LOGIC_VECTOR(c_cnt_latency_w-1 DOWNTO 0);
+  SIGNAL nxt_current_bsn             : STD_LOGIC_VECTOR(c_bsn_w-1 DOWNTO 0);
+  
+BEGIN
+
+  mon_sync                <= sync;
+  mon_sync_timeout        <= sync_timeout;
+  mon_ready_stable        <= i_mon_ready_stable;
+  mon_xon_stable          <= i_mon_xon_stable;
+  mon_bsn_at_sync         <= i_mon_bsn_at_sync  WHEN sync_timeout='0' ELSE inv_mon_bsn_at_sync;
+  mon_nof_sop             <= i_mon_nof_sop      WHEN sync_timeout='0' ELSE inv_mon_nof_sop;
+  mon_nof_err             <= i_mon_nof_err      WHEN sync_timeout='0' ELSE inv_mon_nof_err;
+  mon_nof_valid           <= i_mon_nof_valid    WHEN sync_timeout='0' ELSE inv_mon_nof_valid;
+  mon_latency             <= i_mon_latency      WHEN sync_timeout='0' ELSE inv_mon_latency;
+  
+  nxt_mon_evt          <= sync OR sync_timeout_revt;
+  nxt_mon_ready_stable <= ready_stable WHEN sync='1' ELSE i_mon_ready_stable;
+  nxt_mon_xon_stable   <= xon_stable   WHEN sync='1' ELSE i_mon_xon_stable;
+  nxt_mon_bsn_at_sync  <= bsn          WHEN sync='1' ELSE i_mon_bsn_at_sync;
+  nxt_mon_nof_sop      <= nof_sop      WHEN sync='1' ELSE i_mon_nof_sop;
+  nxt_mon_nof_err      <= nof_err      WHEN sync='1' ELSE i_mon_nof_err;
+  nxt_mon_nof_valid    <= nof_valid    WHEN sync='1' ELSE i_mon_nof_valid;
+  nxt_mon_latency      <= latency      WHEN sync='1' ELSE i_mon_latency;
+
+  nof_sop   <= INCR_UVEC(cnt_sop, 1);    -- +1 because the sop at the sync also counts
+  nof_err   <= cnt_err;
+  nof_valid <= INCR_UVEC(cnt_valid, 1);  -- +1 because the valid at the sync also counts
+  latency   <= cnt_latency;
+  
+  u_sync_timeout_cnt : ENTITY common_lib.common_counter
+  GENERIC MAP (
+    g_width => c_sync_timeout_w
+  )
+  PORT MAP (
+    rst     => rst,
+    clk     => clk,
+    cnt_clr => sync,
+    cnt_en  => sync_timeout_n,
+    count   => sync_timeout_cnt
+  );
+  
+  sync_timeout_n <= NOT nxt_sync_timeout;
+  
+  nxt_sync_timeout <= '1' WHEN UNSIGNED(sync_timeout_cnt)>=g_sync_timeout ELSE '0';
+  
+  u_sync_timeout_revt : ENTITY common_lib.common_evt
+  GENERIC MAP (
+    g_evt_type   => "RISING",
+    g_out_invert => FALSE,
+    g_out_reg    => FALSE
+  )
+  PORT MAP (
+    rst      => rst,
+    clk      => clk,
+    in_sig   => sync_timeout,
+    out_evt  => sync_timeout_revt
+  );
+    
+  p_clk : PROCESS(rst, clk)
+  BEGIN
+    IF rst = '1' THEN
+      -- internal
+      ready                     <= '0';
+      xon                       <= '0';
+      valid                     <= '0';
+      sop                       <= '0';
+      err                       <= '0';
+      sync                      <= '0';
+      bsn                       <= (OTHERS=>'0');
+      -- output
+      mon_evt                   <= '0';
+      sync_timeout              <= '0';
+      i_mon_ready_stable        <= '0';
+      i_mon_xon_stable          <= '0';
+      i_mon_bsn_at_sync         <= (OTHERS=>'0');
+      i_mon_nof_sop             <= (OTHERS=>'0');
+      i_mon_nof_err             <= (OTHERS=>'0');
+      i_mon_nof_valid           <= (OTHERS=>'0');
+      i_mon_latency             <= (OTHERS=>'0');
+      i_current_bsn             <= (OTHERS=>'0');
+    ELSIF rising_edge(clk) THEN
+      -- internal
+      ready                     <= nxt_ready;
+      xon                       <= nxt_xon;
+      valid                     <= nxt_valid;
+      sop                       <= nxt_sop;
+      err                       <= nxt_err;
+      sync                      <= nxt_sync;
+      bsn                       <= nxt_bsn;  
+      -- output
+      mon_evt                   <= nxt_mon_evt;
+      sync_timeout              <= nxt_sync_timeout;
+      i_mon_ready_stable        <= nxt_mon_ready_stable;
+      i_mon_xon_stable          <= nxt_mon_xon_stable;
+      i_mon_bsn_at_sync         <= nxt_mon_bsn_at_sync;
+      i_mon_nof_sop             <= nxt_mon_nof_sop;
+      i_mon_nof_err             <= nxt_mon_nof_err;
+      i_mon_nof_valid           <= nxt_mon_nof_valid;
+      i_mon_latency             <= nxt_mon_latency;
+      i_current_bsn             <= nxt_current_bsn;
+    END IF;
+  END PROCESS;
+  
+  -- siso
+  nxt_ready <= in_siso.ready;
+  nxt_xon   <= in_siso.xon;
+  
+  u_ready_stable : ENTITY common_lib.common_stable_monitor
+  PORT MAP (
+    rst          => rst,
+    clk          => clk,
+    -- MM
+    r_in         => ready,
+    r_stable     => ready_stable,
+    r_stable_ack => sync
+  );
+  
+  u_xon_stable : ENTITY common_lib.common_stable_monitor
+  PORT MAP (
+    rst          => rst,
+    clk          => clk,
+    -- MM
+    r_in         => xon,
+    r_stable     => xon_stable,
+    r_stable_ack => sync
+  );
+  
+  -- Sample the BSN, because BSN is only valid during sop. 
+  nxt_current_bsn <= in_sosi.bsn(c_bsn_w-1 DOWNTO 0) WHEN in_sosi.sop='1' ELSE i_current_bsn;
+  
+  -- sosi
+  -- . no need to AND sop, eop with valid, because can only be active when valid = '1'
+  -- . no need to AND sync     with sop,   because can only be active when sop   = '1'
+  nxt_valid                   <= in_sosi.valid;
+  nxt_sop                     <= in_sosi.sop;   
+  nxt_sync                    <= in_sosi.sync;
+  nxt_err                     <= in_sosi.err(g_error_bi)         WHEN in_sosi.eop='1' ELSE '0';  -- assume sosi.err(g_error_bi) = '1' at eop indicates an error
+  nxt_bsn                     <= in_sosi.bsn(c_bsn_w-1 DOWNTO 0) WHEN in_sosi.sop='1' ELSE bsn;  -- keep bsn as defined at sop
+  
+  u_cnt_sop : ENTITY common_lib.common_counter
+  GENERIC MAP (
+    g_width => c_cnt_sop_w
+  )
+  PORT MAP (
+    rst     => rst,
+    clk     => clk,
+    cnt_clr => sync,
+    cnt_en  => sop,
+    count   => cnt_sop
+  );
+  
+  u_nof_err : ENTITY common_lib.common_counter
+  GENERIC MAP (
+    g_width => c_cnt_sop_w
+  )
+  PORT MAP (
+    rst     => rst,
+    clk     => clk,
+    cnt_clr => sync,
+    cnt_en  => err,
+    count   => cnt_err
+  );
+  
+  u_cnt_valid : ENTITY common_lib.common_counter
+  GENERIC MAP (
+    g_width => c_cnt_valid_w
+  )
+  PORT MAP (
+    rst     => rst,
+    clk     => clk,
+    cnt_clr => sync,
+    cnt_en  => valid,
+    count   => cnt_valid
+  );
+  
+  u_cnt_latency : ENTITY common_lib.common_counter
+  GENERIC MAP (
+    g_width => c_cnt_latency_w
+  )
+  PORT MAP (
+    rst     => rst,
+    clk     => clk,
+    cnt_clr => ref_sync,
+    cnt_en  => '1',
+    count   => cnt_latency
+  );
+
+END rtl;
+
+
+
diff --git a/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor_v2.vhd b/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor_v2.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..64bf0567a6ecd37bef46fb2db0a67ed9019d7af9
--- /dev/null
+++ b/libraries/base/dp/src/vhdl/mms_dp_bsn_monitor_v2.vhd
@@ -0,0 +1,165 @@
+-- --------------------------------------------------------------------------
+-- Copyright 2021
+-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+--
+-- Licensed under the Apache License, Version 2.0 (the "License");
+-- you may not use this file except in compliance with the License.
+-- You may obtain a copy of the License at
+--
+-- http://www.apache.org/licenses/LICENSE-2.0
+--
+-- Unless required by applicable law or agreed to in writing, software
+-- distributed under the License is distributed on an "AS IS" BASIS,
+-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+-- See the License for the specific language governing permissions and
+-- limitations under the License.
+-- --------------------------------------------------------------------------
+
+-- --------------------------------------------------------------------------
+-- Author:
+-- . Reinier vd Walle
+-- Purpose : MMS for dp_bsn_monitor_v2
+-- Description: See dp_bsn_monitor_v2.vhd
+-- --------------------------------------------------------------------------
+
+LIBRARY IEEE, common_lib;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+USE common_lib.common_pkg.ALL;
+USE common_lib.common_mem_pkg.ALL;
+USE work.dp_stream_pkg.ALL;
+
+ENTITY mms_dp_bsn_monitor_v2 IS
+  GENERIC (
+    g_nof_streams        : POSITIVE := 1;
+    g_cross_clock_domain : BOOLEAN := TRUE;  -- use FALSE when mm_clk and dp_clk are the same, else use TRUE to cross the clock domain
+    g_sync_timeout       : NATURAL := 200*10**6;
+    g_bsn_w              : NATURAL := c_dp_stream_bsn_w;
+    g_error_bi           : NATURAL := 0;
+    g_cnt_sop_w          : NATURAL := c_word_w;
+    g_cnt_valid_w        : NATURAL := c_word_w;
+    g_cnt_latency_w      : NATURAL := c_word_w
+  );
+  PORT (
+    -- Memory-mapped clock domain
+    mm_rst      : IN  STD_LOGIC;
+    mm_clk      : IN  STD_LOGIC;
+    reg_mosi    : IN  t_mem_mosi;
+    reg_miso    : OUT t_mem_miso;
+    
+    -- Streaming clock domain
+    dp_rst      : IN  STD_LOGIC;
+    dp_clk      : IN  STD_LOGIC;
+    ref_sync    : IN  STD_LOGIC := '0'; -- ref Sync input    
+    
+    in_siso_arr : IN  t_dp_siso_arr(g_nof_streams-1 DOWNTO 0) := (OTHERS=>c_dp_siso_rdy);
+    in_sosi_arr : IN  t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0)
+  );
+END mms_dp_bsn_monitor_v2;
+
+
+ARCHITECTURE str OF mms_dp_bsn_monitor_v2 IS
+
+  CONSTANT c_reg_adr_w : NATURAL := ceil_log2(7);
+
+  SIGNAL mon_evt_arr           : STD_LOGIC_VECTOR(g_nof_streams-1 DOWNTO 0);
+  SIGNAL mon_sync_timeout_arr  : STD_LOGIC_VECTOR(g_nof_streams-1 DOWNTO 0);
+  
+  SIGNAL mon_ready_stable_arr  : STD_LOGIC_VECTOR(g_nof_streams-1 DOWNTO 0);
+  SIGNAL mon_xon_stable_arr    : STD_LOGIC_VECTOR(g_nof_streams-1 DOWNTO 0);
+ 
+  TYPE t_mon_bsn_arr IS ARRAY(g_nof_streams-1 DOWNTO 0) OF STD_LOGIC_VECTOR(g_bsn_w-1 DOWNTO 0);
+  TYPE t_mon_sop_arr IS ARRAY(g_nof_streams-1 DOWNTO 0) OF STD_LOGIC_VECTOR(g_cnt_sop_w-1 DOWNTO 0);
+  TYPE t_mon_val_arr IS ARRAY(g_nof_streams-1 DOWNTO 0) OF STD_LOGIC_VECTOR(g_cnt_valid_w-1 DOWNTO 0);
+  TYPE t_mon_lat_arr IS ARRAY(g_nof_streams-1 DOWNTO 0) OF STD_LOGIC_VECTOR(g_cnt_latency_w-1 DOWNTO 0);
+ 
+  SIGNAL mon_bsn_at_sync_arr         : t_mon_bsn_arr;
+  SIGNAL mon_nof_sop_arr             : t_mon_sop_arr;
+  SIGNAL mon_nof_err_arr             : t_mon_sop_arr;  -- use g_cnt_sop_w, because at maximium all frames have an error
+  SIGNAL mon_nof_valid_arr           : t_mon_val_arr;
+  SIGNAL mon_latency_arr             : t_mon_lat_arr;
+
+  SIGNAL reg_mosi_arr                : t_mem_mosi_arr(g_nof_streams-1 DOWNTO 0);
+  SIGNAL reg_miso_arr                : t_mem_miso_arr(g_nof_streams-1 DOWNTO 0); 
+      
+BEGIN
+
+  u_common_mem_mux : ENTITY common_lib.common_mem_mux
+  GENERIC MAP (    
+    g_nof_mosi    => g_nof_streams,
+    g_mult_addr_w => c_reg_adr_w
+  )
+  PORT MAP (
+    mosi     => reg_mosi,
+    miso     => reg_miso,
+    mosi_arr => reg_mosi_arr,
+    miso_arr => reg_miso_arr
+  );
+
+  gen_stream : FOR i IN 0 TO g_nof_streams-1 GENERATE
+      
+    u_reg : ENTITY work.dp_bsn_monitor_reg_v2
+    GENERIC MAP (
+      g_cross_clock_domain => g_cross_clock_domain
+    )
+    PORT MAP (
+      -- Clocks and reset
+      mm_rst                  => mm_rst,
+      mm_clk                  => mm_clk,
+      st_rst                  => dp_rst,
+      st_clk                  => dp_clk,
+      
+      -- Memory Mapped Slave in mm_clk domain
+      sla_in                  => reg_mosi_arr(i),
+      sla_out                 => reg_miso_arr(i),
+      
+      -- MM registers in dp_clk domain
+      -- . control
+      mon_evt                 => mon_evt_arr(i),
+      mon_sync_timeout        => mon_sync_timeout_arr(i),
+      -- . siso
+      mon_ready_stable        => mon_ready_stable_arr(i),
+      mon_xon_stable          => mon_xon_stable_arr(i),
+      -- . sosi
+      mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
+      mon_nof_sop             => mon_nof_sop_arr(i),
+      mon_nof_err             => mon_nof_err_arr(i),
+      mon_nof_valid           => mon_nof_valid_arr(i),
+      mon_latency             => mon_latency_arr(i)
+    );
+    
+    u_mon : ENTITY work.dp_bsn_monitor_v2
+    GENERIC MAP (
+      g_sync_timeout  => g_sync_timeout,
+      g_error_bi      => g_error_bi
+    )
+    PORT MAP (
+      rst                    => dp_rst,
+      clk                    => dp_clk,
+  
+      -- ST interface
+      in_siso                => in_siso_arr(i),
+      in_sosi                => in_sosi_arr(i),
+      ref_sync               => ref_sync,
+      
+      -- MM interface
+      -- . control
+      mon_evt                 => mon_evt_arr(i),  -- pulses when new monitor data is available regarding the previous sync interval
+      mon_sync                => OPEN,
+      mon_sync_timeout        => mon_sync_timeout_arr(i),
+      -- . siso
+      mon_ready_stable        => mon_ready_stable_arr(i),
+      mon_xon_stable          => mon_xon_stable_arr(i),
+      -- . sosi
+      mon_bsn_at_sync         => mon_bsn_at_sync_arr(i),
+      mon_nof_sop             => mon_nof_sop_arr(i),
+      mon_nof_err             => mon_nof_err_arr(i),
+      mon_nof_valid           => mon_nof_valid_arr(i),
+      mon_latency             => mon_latency_arr(i)
+    );
+  
+  END GENERATE;
+
+END str;
+
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor_v2.vhd b/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor_v2.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..09eeec859d5d0b885a9508a32c565ff0674afb5f
--- /dev/null
+++ b/libraries/base/dp/tb/vhdl/tb_dp_bsn_monitor_v2.vhd
@@ -0,0 +1,343 @@
+-- --------------------------------------------------------------------------
+-- Copyright 2021
+-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+--
+-- Licensed under the Apache License, Version 2.0 (the "License");
+-- you may not use this file except in compliance with the License.
+-- You may obtain a copy of the License at
+--
+-- http://www.apache.org/licenses/LICENSE-2.0
+--
+-- Unless required by applicable law or agreed to in writing, software
+-- distributed under the License is distributed on an "AS IS" BASIS,
+-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+-- See the License for the specific language governing permissions and
+-- limitations under the License.
+-- --------------------------------------------------------------------------
+
+-- --------------------------------------------------------------------------
+-- Author:
+-- . Reinier vd Walle
+-- Purpose: Verify dp_bsn_monitor_v2 for different RL
+-- Description:
+-- Usage:
+-- > as 10
+-- > run -all  -- signal tb_end will stop the simulation by stopping the clk
+-- . The verify procedures check the correct input and monitor results
+-- --------------------------------------------------------------------------
+  
+LIBRARY IEEE, common_lib, dp_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+USE common_lib.common_lfsr_sequences_pkg.ALL;
+USE common_lib.tb_common_pkg.ALL;
+USE dp_lib.dp_stream_pkg.ALL;
+USE dp_lib.tb_dp_pkg.ALL;
+
+
+ENTITY tb_dp_bsn_monitor_v2 IS
+  GENERIC (
+    g_in_en      : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
+    g_nof_sync   : NATURAL := 11
+  );
+END tb_dp_bsn_monitor_v2;
+
+
+ARCHITECTURE tb OF tb_dp_bsn_monitor_v2 IS
+
+  CONSTANT c_rl                  : NATURAL := 1;
+  CONSTANT c_data_w              : NATURAL := 16;
+  CONSTANT c_data_init           : INTEGER := 0;
+  CONSTANT c_frame_len           : NATURAL := 20;
+  CONSTANT c_pulse_active        : NATURAL := 1;
+  CONSTANT c_pulse_period        : NATURAL := 7;
+  CONSTANT c_sync_period         : NATURAL := 17;
+  CONSTANT c_sync_offset         : NATURAL := 0;
+  CONSTANT c_sync_timeout        : NATURAL := c_frame_len*c_sync_period;
+  CONSTANT c_nof_repeat          : NATURAL := g_nof_sync * c_sync_period + 1;
+  CONSTANT c_ref_sync_latency    : NATURAL := 7;
+  
+  -- Error control
+  CONSTANT c_skip_sync_nr        : INTEGER := -1;  -- use e.g. 5 >= 0 to introduce a sync timeout at that sync interval 5 (causes missing sinc error by proc_dp_verify_sync), use -1 to disable skipping a sync
+  CONSTANT c_nof_err             : NATURAL := 2;   -- <= c_sync_period   -- introduce frame errors
+
+  SIGNAL tb_end                  : STD_LOGIC := '0';
+  SIGNAL clk                     : STD_LOGIC := '1';
+  SIGNAL rst                     : STD_LOGIC := '1';
+
+  -- Flow control
+  SIGNAL random_0                : STD_LOGIC_VECTOR(14 DOWNTO 0) := (OTHERS=>'0');  -- use different lengths to have different random sequences
+  SIGNAL pulse_0                 : STD_LOGIC;
+  SIGNAL pulse_en                : STD_LOGIC := '1';
+
+  -- Stimuli
+  SIGNAL in_en                   : STD_LOGIC := '1';
+  SIGNAL in_siso                 : t_dp_siso := c_dp_siso_rdy;
+  SIGNAL in_sosi                 : t_dp_sosi;
+  SIGNAL ref_sync                : STD_LOGIC := '0';
+  
+  -- Output
+  SIGNAL out_siso                : t_dp_siso;
+
+  -- Monitor in_sosi and out_siso
+  SIGNAL mon_evt                 : STD_LOGIC;  -- pulses when new monitor output is available regarding the previous sync interval
+  SIGNAL mon_sync                : STD_LOGIC;  -- pulses every in_sync interval
+  SIGNAL mon_sync_timeout        : STD_LOGIC;
+  SIGNAL mon_ready_stable        : STD_LOGIC;
+  SIGNAL mon_xon_stable          : STD_LOGIC;
+  SIGNAL mon_bsn_at_sync         : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  SIGNAL mon_nof_sop             : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  SIGNAL mon_nof_err             : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  SIGNAL mon_nof_valid           : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  SIGNAL mon_latency             : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  
+  -- Verification
+  SIGNAL verify_en               : STD_LOGIC := '0';
+  SIGNAL verify_done             : STD_LOGIC := '0';
+  SIGNAL count_eop               : NATURAL := 0;
+
+  SIGNAL prev_in_ready           : STD_LOGIC_VECTOR(0 TO c_rl);
+  SIGNAL prev_in_data            : STD_LOGIC_VECTOR(c_data_w-1 DOWNTO 0) := TO_SVEC(c_data_init-1, c_data_w);
+  SIGNAL in_bsn                  : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  SIGNAL in_data                 : STD_LOGIC_VECTOR(c_data_w-1 DOWNTO 0);
+  SIGNAL in_sync                 : STD_LOGIC;
+  SIGNAL in_val                  : STD_LOGIC;
+  SIGNAL in_sop                  : STD_LOGIC;
+  SIGNAL in_eop                  : STD_LOGIC;
+  SIGNAL expected_in_data        : STD_LOGIC_VECTOR(c_data_w-1 DOWNTO 0);
+  
+  SIGNAL expected_bsn_at_sync  : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  SIGNAL expected_nof_sop      : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  SIGNAL expected_nof_err      : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  SIGNAL expected_nof_valid    : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+  SIGNAL expected_latency      : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0);
+
+BEGIN
+
+  clk <= (NOT clk) OR tb_end AFTER clk_period/2;
+  rst <= '1', '0' AFTER clk_period*7;
+
+  random_0 <= func_common_random(random_0) WHEN rising_edge(clk);
+
+  proc_common_gen_duty_pulse(c_pulse_active, c_pulse_period,   '1', rst, clk, pulse_en, pulse_0);
+
+
+  ------------------------------------------------------------------------------
+  -- SOSI DATA GENERATION
+  ------------------------------------------------------------------------------
+
+  in_en   <= '1'                     WHEN g_in_en=e_active      ELSE
+             random_0(random_0'HIGH) WHEN g_in_en=e_random      ELSE
+             pulse_0                 WHEN g_in_en=e_pulse;
+                    
+  in_siso <= c_dp_siso_rdy;
+
+  -- Generate data path input data
+  p_sosi_stimuli : PROCESS
+    VARIABLE v_data_init   : NATURAL;
+    VARIABLE v_nof_err     : NATURAL;
+    VARIABLE v_err         : NATURAL;
+    VARIABLE v_sync_cnt    : NATURAL;
+    VARIABLE v_sync        : STD_LOGIC;
+  BEGIN
+    v_data_init := c_data_init;
+    v_sync_cnt := 0;
+    v_nof_err := 0;
+    in_sosi <= c_dp_sosi_rst;
+    proc_common_wait_until_low(clk, rst);
+    proc_common_wait_some_cycles(clk, 5);
+
+    -- Begin of stimuli
+    FOR R IN 0 TO c_nof_repeat-1 LOOP
+      -- control the sync intervals
+      -- . introduce sync timeout by skipping a sync
+      -- . introduce frame errors via sosi.err
+      v_sync := sel_a_b(R MOD c_sync_period = c_sync_offset, '1', '0');
+      IF v_sync='1' THEN
+        v_sync_cnt := v_sync_cnt + 1;
+        IF v_sync_cnt=c_skip_sync_nr THEN
+          v_sync := '0';
+        END IF;
+        v_nof_err := 0;
+      ELSE
+        v_nof_err := v_nof_err + 1;
+      END IF;
+      v_err := 0;
+      IF v_nof_err<c_nof_err THEN
+        v_err := 1;
+      END IF;
+      
+      proc_dp_gen_block_data(c_rl, TRUE, c_data_w, c_data_w, v_data_init, 0, 0, c_frame_len, 0, v_err, v_sync, TO_DP_BSN(R), clk, in_en, in_siso, in_sosi);
+      --proc_common_wait_some_cycles(clk, 10);
+      v_data_init := v_data_init + c_frame_len;
+    END LOOP;
+
+    -- End of stimuli
+    expected_in_data <= TO_UVEC(v_data_init-1, c_data_w);
+
+    proc_common_wait_until_high(clk, verify_done);
+    proc_common_wait_some_cycles(clk, 10);
+    tb_end <= '1';
+    WAIT;
+  END PROCESS;
+  
+  expected_bsn_at_sync <= TO_UVEC(           c_nof_repeat-1, c_word_w);
+  expected_nof_sop     <= TO_UVEC(            c_sync_period, c_word_w);
+  expected_nof_err     <= TO_UVEC(                c_nof_err, c_word_w);
+  expected_nof_valid   <= TO_UVEC(c_frame_len*c_sync_period, c_word_w);
+  expected_latency     <= TO_UVEC(       c_ref_sync_latency, c_word_w);
+  
+  ------------------------------------------------------------------------------
+  -- SISO FLOW CONTROL GENERATION
+  ------------------------------------------------------------------------------
+  
+  p_siso_stimuli : PROCESS
+  BEGIN
+    out_siso <= c_dp_siso_rdy;
+    proc_common_wait_until_low(clk, rst);
+    proc_common_wait_some_cycles(clk, 5);
+    
+    -- Pulse ready low
+    proc_common_wait_until_hi_lo(clk, in_sosi.sync);
+    proc_common_wait_until_hi_lo(clk, in_sosi.sop);
+    proc_common_wait_until_hi_lo(clk, in_sosi.sop);
+    proc_common_wait_some_cycles(clk, 3);
+    out_siso.ready <= '0';
+    proc_common_wait_some_cycles(clk, 1);
+    out_siso.ready <= '1';
+    
+    -- Pulse xon low
+    proc_common_wait_until_hi_lo(clk, in_sosi.sop);
+    proc_common_wait_until_hi_lo(clk, in_sosi.sop);
+    proc_common_wait_some_cycles(clk, 3);
+    out_siso.xon <= '0';
+    proc_common_wait_some_cycles(clk, 1);
+    out_siso.xon <= '1';
+    
+    -- Keep ready active
+    -- Keep xon active
+    proc_common_wait_until_hi_lo(clk, in_sosi.sync);
+    proc_common_wait_until_hi_lo(clk, in_sosi.sync);
+    
+    -- Make xon low for whole interval
+    out_siso.xon <= '0';
+    proc_common_wait_until_hi_lo(clk, in_sosi.sync);
+    -- Make xon high during the interval
+    proc_common_wait_some_cycles(clk, 1);
+    out_siso.xon <= '1';
+    
+    WAIT;
+  END PROCESS;
+  
+  p_siso_verify : PROCESS
+  BEGIN
+    -- The assert conditions must manually be set such to fit the stimuli from p_siso_stimuli
+    proc_common_wait_until_hi_lo(clk, mon_sync);
+    ASSERT mon_ready_stable='0' REPORT "Wrong mon_ready_stable at sync 1" SEVERITY ERROR;
+    ASSERT mon_xon_stable='0'   REPORT "Wrong mon_xon_stable   at sync 1" SEVERITY ERROR;
+    proc_common_wait_until_hi_lo(clk, mon_sync);
+    ASSERT mon_ready_stable='0' REPORT "Wrong mon_ready_stable at sync 2" SEVERITY ERROR;
+    ASSERT mon_xon_stable='0'   REPORT "Wrong mon_xon_stable   at sync 2" SEVERITY ERROR;
+    proc_common_wait_until_hi_lo(clk, mon_sync);
+    ASSERT mon_ready_stable='1' REPORT "Wrong mon_ready_stable at sync 3" SEVERITY ERROR;
+    ASSERT mon_xon_stable='1'   REPORT "Wrong mon_xon_stable   at sync 3" SEVERITY ERROR;
+    proc_common_wait_until_hi_lo(clk, mon_sync);
+    ASSERT mon_ready_stable='1' REPORT "Wrong mon_ready_stable at sync 4" SEVERITY ERROR;
+    ASSERT mon_xon_stable='0'   REPORT "Wrong mon_xon_stable   at sync 4" SEVERITY ERROR;
+    proc_common_wait_until_hi_lo(clk, mon_sync);
+    ASSERT mon_ready_stable='1' REPORT "Wrong mon_ready_stable at sync 5" SEVERITY ERROR;
+    ASSERT mon_xon_stable='0'   REPORT "Wrong mon_xon_stable   at sync 5" SEVERITY ERROR;
+    proc_common_wait_until_hi_lo(clk, mon_sync);
+    ASSERT mon_ready_stable='1' REPORT "Wrong mon_ready_stable at sync 6" SEVERITY ERROR;
+    ASSERT mon_xon_stable='1'   REPORT "Wrong mon_xon_stable   at sync 6" SEVERITY ERROR;
+    WAIT;
+  END PROCESS;
+
+  p_ref_sync_stimuli : PROCESS
+  BEGIN
+    ref_sync <= '0';
+    proc_common_wait_until_low(clk, rst);
+    proc_common_wait_until_hi_lo(clk, in_sosi.sync);
+    proc_common_wait_some_cycles(clk, (c_sync_timeout-2-c_ref_sync_latency)); 
+    FOR I IN 0 TO c_nof_repeat-2 LOOP
+      ref_sync <= '1';
+      proc_common_wait_some_cycles(clk, 1);
+      ref_sync <= '0';
+      proc_common_wait_some_cycles(clk, c_sync_timeout-1); 
+    END LOOP;
+    WAIT;
+  END PROCESS;
+
+  
+  ------------------------------------------------------------------------------
+  -- DATA VERIFICATION
+  ------------------------------------------------------------------------------
+  
+  -- Verification logistics
+  verify_en <= '1'          WHEN rising_edge(clk) AND in_sosi.sop='1';           -- enable verify after first input sop
+  count_eop <= count_eop+1  WHEN rising_edge(clk) AND in_sosi.eop='1';           -- count number of input eop
+  verify_done <= '1'        WHEN rising_edge(clk) AND count_eop = c_nof_repeat;  -- signal verify done after c_nof_repeat frames
+
+  -- Actual verification of the input streams
+  proc_dp_verify_data("in_sosi.data", c_rl, clk, verify_en, in_siso.ready, in_sosi.valid, in_data, prev_in_data);  -- Verify that the input is incrementing data, like the input stimuli
+  proc_dp_verify_valid(c_rl, clk, verify_en, in_siso.ready, prev_in_ready, in_sosi.valid);                         -- Verify that the input valid fits with the input ready latency
+  proc_dp_verify_value(e_equal, clk, verify_done, expected_in_data, prev_in_data);                                 -- Verify that the stimuli have been applied at all
+  proc_dp_verify_sync(c_sync_period, c_sync_offset, clk, verify_en, in_sosi.sync, in_sosi.sop, in_sosi.bsn);
+
+  -- Monitoring
+  in_bsn  <= in_sosi.bsn(c_word_w-1 DOWNTO 0);
+  in_data <= in_sosi.data(c_data_w-1 DOWNTO 0);
+  in_sync <= in_sosi.sync;
+  in_val  <= in_sosi.valid;
+  in_sop  <= in_sosi.sop;
+  in_eop  <= in_sosi.eop;
+  
+  
+  ------------------------------------------------------------------------------
+  -- MONITOR VERIFICATION
+  ------------------------------------------------------------------------------
+  
+  proc_dp_verify_value(e_equal, clk, verify_done, expected_bsn_at_sync, mon_bsn_at_sync);
+  proc_dp_verify_value(e_equal, clk, verify_done, expected_nof_sop,   mon_nof_sop);
+  proc_dp_verify_value(e_equal, clk, verify_done, expected_nof_err,   mon_nof_err);
+  proc_dp_verify_value(e_equal, clk, verify_done, expected_nof_valid, mon_nof_valid);
+  proc_dp_verify_value(e_equal, clk, verify_done, expected_latency,   mon_latency);
+  
+  
+  ------------------------------------------------------------------------------
+  -- DUT dp_bsn_monitor_v2
+  ------------------------------------------------------------------------------
+
+  -- Tap the stream to the monitor
+  dut : ENTITY work.dp_bsn_monitor_v2
+  GENERIC MAP (
+    g_sync_timeout  => c_sync_timeout
+  )
+  PORT MAP (
+    rst                     => rst,
+    clk                     => clk,
+
+    -- ST interface
+    in_siso                 => out_siso,
+    in_sosi                 => in_sosi,
+    ref_sync                => ref_sync,
+    
+    -- MM interface
+    -- . control
+    mon_evt                 => mon_evt,
+    mon_sync                => mon_sync,
+    mon_sync_timeout        => mon_sync_timeout,
+    -- . siso
+    mon_ready_stable        => mon_ready_stable,
+    mon_xon_stable          => mon_xon_stable,
+    -- . sosi
+    mon_bsn_at_sync         => mon_bsn_at_sync,
+    mon_nof_sop             => mon_nof_sop,
+    mon_nof_err             => mon_nof_err,
+    mon_nof_valid           => mon_nof_valid,
+    mon_latency             => mon_latency
+  );
+    
+END tb;
diff --git a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_iopll_180/compile_ip.tcl b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_iopll_180/compile_ip.tcl
index aed590371dba5adf6990f70c2e06c0485fe42418..9b2f11d2d4a4b97ca45ada28cdd022c960594ee0 100644
--- a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_iopll_180/compile_ip.tcl
+++ b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_iopll_180/compile_ip.tcl
@@ -30,6 +30,7 @@
 
 vmap  altera_iopll_180           ./work/
 
+
 set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_pll_clk25/sim"
   vlog  "$IP_DIR/../altera_iopll_180/sim/ip_arria10_e1sg_pll_clk25_altera_iopll_180_fp6fpla.vo"  -work altera_iopll_180         
 
@@ -39,5 +40,14 @@ set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e
 set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_pll_clk200/sim"
   vlog  "$IP_DIR/../altera_iopll_180/sim/ip_arria10_e1sg_pll_clk200_altera_iopll_180_qkytlfy.vo" -work altera_iopll_180          
 
-set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx_core_pll/sim"
-  vlog  "$IP_DIR/../altera_iopll_180/sim/ip_arria10_e1sg_jesd204b_rx_core_pll_altera_iopll_180_4sgpama.vo" -work altera_iopll_180          
+# # Refreshing /home/hiemstra/git/hdl/build/unb2b/modelsim/ip_arria10_e1sg_jesd204b/work.ip_arria10_e1sg_jesd204b_rx_core_pll_200mhz(rtl)
+# Loading ip_arria10_e1sg_jesd204b_lib.ip_arria10_e1sg_jesd204b_rx_core_pll_200mhz(rtl)
+# Refreshing /home/hiemstra/git/hdl/build/unb2b/modelsim/ip_arria10_e1sg_altera_iopll_180/work.ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz_altera_iopll_180_4sgpama
+# Loading altera_iopll_180.ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz_altera_iopll_180_4sgpama
+# Loading altera_lnsim_ver.altera_iopll
+# ** Error (suppressible): (vsim-3584) /home/hiemstra/git/hdl/build/unb2b/qsys-generate/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz/sim/../altera_iopll_180/sim/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz_altera_iopll_180_4sgpama.vo(155): Module parameter 'prot_mode' not found for override.
+#    Time: 0 fs  Iteration: 0  Instance: /tb_tech_jesd204b/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_corepll_freqsel/u_ip_arria10_e1sg_jesd204b_rx_corepll_200MHz/iopll_0 File: /home/hiemstra/git/hdl/build/unb2b/qsys-generate/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz/sim/../altera_iopll_180/sim/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz_altera_iopll_180_4sgpama.vo
+
+set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz/sim"
+  vlog "$IP_DIR/../altera_iopll_180/sim/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz_altera_iopll_180_4sgpama.vo" -work altera_iopll_180          
+
diff --git a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_180/compile_ip.tcl b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_180/compile_ip.tcl
index 602606e9ca5886c113d77eed0b4d81cd77b7df6d..845d83faa1b8d7bc4b4ea7fa2e28501c68660a66 100644
--- a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_180/compile_ip.tcl
+++ b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_180/compile_ip.tcl
@@ -30,8 +30,8 @@
 
 vmap  altera_jesd204_180           ./work/
 
-set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx/sim"
-  vcom         "$IP_DIR/../altera_jesd204_180/sim/ip_arria10_e1sg_jesd204b_rx_altera_jesd204_180_3rumeui.vhd"   -work altera_jesd204_180
+set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx_200MHz/sim"
+  vcom         "$IP_DIR/../altera_jesd204_180/sim/ip_arria10_e1sg_jesd204b_rx_200MHz_altera_jesd204_180_3rumeui.vhd"   -work altera_jesd204_180
 
 
 
diff --git a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_phy_180/compile_ip.tcl b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_phy_180/compile_ip.tcl
index dfe1bc251d98d548ed2631bbce45fe4290deab43..116a846afa7dbe6d51d8ffd81fd356d8571c17cd 100644
--- a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_phy_180/compile_ip.tcl
+++ b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_phy_180/compile_ip.tcl
@@ -30,8 +30,8 @@
 
 vmap  altera_jesd204_phy_180           ./work/
 
-set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx/sim"
-  vcom         "$IP_DIR/../altera_jesd204_phy_180/sim/ip_arria10_e1sg_jesd204b_rx_altera_jesd204_phy_180_wv3zwea.vhd"   -work altera_jesd204_phy_180
+set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx_200MHz/sim"
+  vcom         "$IP_DIR/../altera_jesd204_phy_180/sim/ip_arria10_e1sg_jesd204b_rx_200MHz_altera_jesd204_phy_180_wv3zwea.vhd"   -work altera_jesd204_phy_180
 
 set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_tx/sim"
   vcom         "$IP_DIR/../altera_jesd204_phy_180/sim/ip_arria10_e1sg_jesd204b_tx_altera_jesd204_phy_180_s336zrq.vhd"   -work altera_jesd204_phy_180
diff --git a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_phy_adapter_xs_180/compile_ip.tcl b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_phy_adapter_xs_180/compile_ip.tcl
index b79cb4af76f6c069a45770339109ad21610aed98..bb1159b2e0b6ab73dd415a81e3cb60dc56a8d5e1 100644
--- a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_phy_adapter_xs_180/compile_ip.tcl
+++ b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_phy_adapter_xs_180/compile_ip.tcl
@@ -30,7 +30,7 @@
 
 vmap  altera_jesd204_phy_adapter_xs_180           ./work/
 
-set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx/sim"
+set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx_200MHz/sim"
 
   vlog         "$IP_DIR/../altera_jesd204_phy_adapter_xs_180/sim/mentor/altera_jesd204_phy_adapter_xs.v"          -work altera_jesd204_phy_adapter_xs_180  
  
diff --git a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_rx_180/compile_ip.tcl b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_rx_180/compile_ip.tcl
index f2de81ed2004834e2f781578113ce3ce24e6340e..93fceea38fb20d022f8e89f494b8ddd3f9c77e95 100644
--- a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_rx_180/compile_ip.tcl
+++ b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_rx_180/compile_ip.tcl
@@ -30,7 +30,7 @@
 
 vmap  altera_jesd204_rx_180           ./work/
 
-set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx/sim"
+set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx_200MHz/sim"
   vlog         "$IP_DIR/../altera_jesd204_rx_180/sim/mentor/altera_jesd204_rx_base.v"                -work altera_jesd204_rx_180   
   vlog         "$IP_DIR/../altera_jesd204_rx_180/sim/mentor/altera_jesd204_rx_csr.v"                 -work altera_jesd204_rx_180   
   vlog         "$IP_DIR/../altera_jesd204_rx_180/sim/mentor/altera_jesd204_rx_ctl.v"                 -work altera_jesd204_rx_180   
diff --git a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_rx_mlpcs_180/compile_ip.tcl b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_rx_mlpcs_180/compile_ip.tcl
index 8f3b05caff702dddd03c4895140f9ab2f6375d6d..354a1a282cddfe3a04ba5265eb3ee232ca843fb3 100644
--- a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_rx_mlpcs_180/compile_ip.tcl
+++ b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_jesd204_rx_mlpcs_180/compile_ip.tcl
@@ -30,7 +30,7 @@
 
 vmap  altera_jesd204_rx_mlpcs_180           ./work/
 
-set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx/sim"
+set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx_200MHz/sim"
 
   vlog         "$IP_DIR/../altera_jesd204_rx_mlpcs_180/sim/mentor/altera_jesd204_8b10b_dec.v"          -work altera_jesd204_rx_mlpcs_180  
   vlog         "$IP_DIR/../altera_jesd204_rx_mlpcs_180/sim/mentor/altera_jesd204_mixed_width_dcfifo.v" -work altera_jesd204_rx_mlpcs_180  
diff --git a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_xcvr_native_a10_180/compile_ip.tcl b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_xcvr_native_a10_180/compile_ip.tcl
index 9020d9e3737906bae36b678ec98d61962eaa940f..90bc5aa8c7c5d0fce9d428878f287120693a595a 100644
--- a/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_xcvr_native_a10_180/compile_ip.tcl
+++ b/libraries/technology/ip_arria10_e1sg/altera_libraries/altera_xcvr_native_a10_180/compile_ip.tcl
@@ -98,8 +98,8 @@ set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e
   vlog -sv  "$IP_DIR/../altera_xcvr_native_a10_180/sim/alt_xcvr_native_rcfg_opt_logic_k23srea.sv"                              -L altera_common_sv_packages -work altera_xcvr_native_a10_180  
 
 # jesd204b rx
-set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx/sim"
-  vlog -sv  "$IP_DIR/../altera_xcvr_native_a10_180/sim/ip_arria10_e1sg_jesd204b_rx_altera_xcvr_native_a10_180_vcpx3ja.sv"      -L altera_common_sv_packages -work altera_xcvr_native_a10_180            
+set IP_DIR   "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e1sg_jesd204b_rx_200MHz/sim"
+  vlog -sv  "$IP_DIR/../altera_xcvr_native_a10_180/sim/ip_arria10_e1sg_jesd204b_rx_200MHz_altera_xcvr_native_a10_180_vcpx3ja.sv"      -L altera_common_sv_packages -work altera_xcvr_native_a10_180            
   vlog -sv  "$IP_DIR/../altera_xcvr_native_a10_180/sim/alt_xcvr_native_rcfg_opt_logic_vcpx3ja.sv"                              -L altera_common_sv_packages -work altera_xcvr_native_a10_180  
 
 # jesd204b tx
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/hdllib.cfg b/libraries/technology/ip_arria10_e1sg/jesd204b/hdllib.cfg
index b6b33f389aa0eeb0889a1aac6958bb96bafbb52d..e218af49fac038b6f6da9ed00c42b42f03674de0 100644
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/hdllib.cfg
+++ b/libraries/technology/ip_arria10_e1sg/jesd204b/hdllib.cfg
@@ -26,10 +26,9 @@ quartus_qip_files =
 
 [generate_ip_libs]
 qsys-generate_ip_files = 
-    ip_arria10_e1sg_jesd204b_rx_200MHz.qsys
-    ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.qsys
-    ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys
-    ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys
-    ip_arria10_e1sg_jesd204b_tx.qsys
-
+    ip_arria10_e1sg_jesd204b_rx_200MHz.ip
+    ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.ip
+    ip_arria10_e1sg_jesd204b_rx_reset_seq.ip
+    ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip
+    ip_arria10_e1sg_jesd204b_tx.ip
 
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip/ip_arria10_e1sg_jesd204b_rx_reset_control_12/ip_arria10_e1sg_jesd204b_rx_reset_control_12_clock_in.ip b/libraries/technology/ip_arria10_e1sg/jesd204b/ip/ip_arria10_e1sg_jesd204b_rx_reset_control_12/ip_arria10_e1sg_jesd204b_rx_reset_control_12_clock_in.ip
deleted file mode 100644
index 5fb379d27945b3eddb9870b071d3b5a9829d10b2..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip/ip_arria10_e1sg_jesd204b_rx_reset_control_12/ip_arria10_e1sg_jesd204b_rx_reset_control_12_clock_in.ip
+++ /dev/null
@@ -1,311 +0,0 @@
-<?xml version="1.0" ?>
-<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
-  <spirit:vendor>Intel Corporation</spirit:vendor>
-  <spirit:library>ip_arria10_e1sg_jesd204b_rx_reset_control_12_clock_in</spirit:library>
-  <spirit:name>clock_in</spirit:name>
-  <spirit:version>18.0</spirit:version>
-  <spirit:busInterfaces>
-    <spirit:busInterface>
-      <spirit:name>in_clk</spirit:name>
-      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
-      <spirit:slave></spirit:slave>
-      <spirit:portMaps>
-        <spirit:portMap>
-          <spirit:logicalPort>
-            <spirit:name>clk</spirit:name>
-          </spirit:logicalPort>
-          <spirit:physicalPort>
-            <spirit:name>in_clk</spirit:name>
-          </spirit:physicalPort>
-        </spirit:portMap>
-      </spirit:portMaps>
-      <spirit:parameters>
-        <spirit:parameter>
-          <spirit:name>clockRate</spirit:name>
-          <spirit:displayName>Clock rate</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>externallyDriven</spirit:name>
-          <spirit:displayName>Externally driven</spirit:displayName>
-          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>ptfSchematicName</spirit:name>
-          <spirit:displayName>PTF schematic name</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
-        </spirit:parameter>
-      </spirit:parameters>
-    </spirit:busInterface>
-    <spirit:busInterface>
-      <spirit:name>out_clk</spirit:name>
-      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
-      <spirit:master></spirit:master>
-      <spirit:portMaps>
-        <spirit:portMap>
-          <spirit:logicalPort>
-            <spirit:name>clk</spirit:name>
-          </spirit:logicalPort>
-          <spirit:physicalPort>
-            <spirit:name>out_clk</spirit:name>
-          </spirit:physicalPort>
-        </spirit:portMap>
-      </spirit:portMaps>
-      <spirit:parameters>
-        <spirit:parameter>
-          <spirit:name>associatedDirectClock</spirit:name>
-          <spirit:displayName>Associated direct clock</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="associatedDirectClock">in_clk</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>clockRate</spirit:name>
-          <spirit:displayName>Clock rate</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="clockRate">50000000</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>clockRateKnown</spirit:name>
-          <spirit:displayName>Clock rate known</spirit:displayName>
-          <spirit:value spirit:format="bool" spirit:id="clockRateKnown">true</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>externallyDriven</spirit:name>
-          <spirit:displayName>Externally driven</spirit:displayName>
-          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>ptfSchematicName</spirit:name>
-          <spirit:displayName>PTF schematic name</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
-        </spirit:parameter>
-      </spirit:parameters>
-    </spirit:busInterface>
-  </spirit:busInterfaces>
-  <spirit:model>
-    <spirit:views>
-      <spirit:view>
-        <spirit:name>QUARTUS_SYNTH</spirit:name>
-        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
-        <spirit:modelName>altera_clock_bridge</spirit:modelName>
-        <spirit:fileSetRef>
-          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
-        </spirit:fileSetRef>
-      </spirit:view>
-    </spirit:views>
-    <spirit:ports>
-      <spirit:port>
-        <spirit:name>in_clk</spirit:name>
-        <spirit:wire>
-          <spirit:direction>in</spirit:direction>
-          <spirit:wireTypeDefs>
-            <spirit:wireTypeDef>
-              <spirit:typeName>STD_LOGIC</spirit:typeName>
-              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
-            </spirit:wireTypeDef>
-          </spirit:wireTypeDefs>
-        </spirit:wire>
-      </spirit:port>
-      <spirit:port>
-        <spirit:name>out_clk</spirit:name>
-        <spirit:wire>
-          <spirit:direction>out</spirit:direction>
-          <spirit:wireTypeDefs>
-            <spirit:wireTypeDef>
-              <spirit:typeName>STD_LOGIC</spirit:typeName>
-              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
-            </spirit:wireTypeDef>
-          </spirit:wireTypeDefs>
-        </spirit:wire>
-      </spirit:port>
-    </spirit:ports>
-  </spirit:model>
-  <spirit:vendorExtensions>
-    <altera:entity_info>
-      <spirit:vendor>Intel Corporation</spirit:vendor>
-      <spirit:library>ip_arria10_e1sg_jesd204b_rx_reset_control_12_clock_in</spirit:library>
-      <spirit:name>altera_clock_bridge</spirit:name>
-      <spirit:version>18.0</spirit:version>
-    </altera:entity_info>
-    <altera:altera_module_parameters>
-      <spirit:parameters>
-        <spirit:parameter>
-          <spirit:name>DERIVED_CLOCK_RATE</spirit:name>
-          <spirit:displayName>Derived clock rate</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="DERIVED_CLOCK_RATE">0</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>EXPLICIT_CLOCK_RATE</spirit:name>
-          <spirit:displayName>Explicit clock rate</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="EXPLICIT_CLOCK_RATE">50000000</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>NUM_CLOCK_OUTPUTS</spirit:name>
-          <spirit:displayName>Number of Clock Outputs</spirit:displayName>
-          <spirit:value spirit:format="long" spirit:id="NUM_CLOCK_OUTPUTS">1</spirit:value>
-        </spirit:parameter>
-      </spirit:parameters>
-    </altera:altera_module_parameters>
-    <altera:altera_system_parameters>
-      <spirit:parameters>
-        <spirit:parameter>
-          <spirit:name>device</spirit:name>
-          <spirit:displayName>Device</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>deviceFamily</spirit:name>
-          <spirit:displayName>Device family</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>deviceSpeedGrade</spirit:name>
-          <spirit:displayName>Device Speed Grade</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>generationId</spirit:name>
-          <spirit:displayName>Generation Id</spirit:displayName>
-          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>bonusData</spirit:name>
-          <spirit:displayName>bonusData</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
-{
-}
-</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>hideFromIPCatalog</spirit:name>
-          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
-          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>lockedInterfaceDefinition</spirit:name>
-          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>in_clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>in_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>out_clk</name>
-            <type>clock</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>out_clk</name>
-                    <role>clk</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedDirectClock</key>
-                        <value>in_clk</value>
-                    </entry>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>50000000</value>
-                    </entry>
-                    <entry>
-                        <key>clockRateKnown</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>systemInfos</spirit:name>
-          <spirit:displayName>systemInfos</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
-    <connPtSystemInfos>
-        <entry>
-            <key>in_clk</key>
-            <value>
-                <connectionPointName>in_clk</connectionPointName>
-                <suppliedSystemInfos>
-                    <entry>
-                        <key>CLOCK_RATE</key>
-                        <value>0</value>
-                    </entry>
-                </suppliedSystemInfos>
-                <consumedSystemInfos/>
-            </value>
-        </entry>
-        <entry>
-            <key>out_clk</key>
-            <value>
-                <connectionPointName>out_clk</connectionPointName>
-                <suppliedSystemInfos/>
-                <consumedSystemInfos>
-                    <entry>
-                        <key>CLOCK_RATE</key>
-                        <value>50000000</value>
-                    </entry>
-                </consumedSystemInfos>
-            </value>
-        </entry>
-    </connPtSystemInfos>
-</systemInfosDefinition>]]></spirit:value>
-        </spirit:parameter>
-      </spirit:parameters>
-    </altera:altera_system_parameters>
-    <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="in_clk" altera:internal="clock_in.in_clk" altera:type="clock" altera:dir="end">
-        <altera:port_mapping altera:name="in_clk" altera:internal="in_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="out_clk" altera:internal="clock_in.out_clk" altera:type="clock" altera:dir="start">
-        <altera:port_mapping altera:name="out_clk" altera:internal="out_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-    </altera:altera_interface_boundary>
-    <altera:altera_has_warnings>false</altera:altera_has_warnings>
-    <altera:altera_has_errors>false</altera:altera_has_errors>
-  </spirit:vendorExtensions>
-</spirit:component>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip/ip_arria10_e1sg_jesd204b_rx_reset_control_12/ip_arria10_e1sg_jesd204b_rx_reset_control_12_reset_in.ip b/libraries/technology/ip_arria10_e1sg/jesd204b/ip/ip_arria10_e1sg_jesd204b_rx_reset_control_12/ip_arria10_e1sg_jesd204b_rx_reset_control_12_reset_in.ip
deleted file mode 100644
index 6d9cebeca47e9d335d60396ddef6f8e8c5484b20..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip/ip_arria10_e1sg_jesd204b_rx_reset_control_12/ip_arria10_e1sg_jesd204b_rx_reset_control_12_reset_in.ip
+++ /dev/null
@@ -1,377 +0,0 @@
-<?xml version="1.0" ?>
-<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
-  <spirit:vendor>Intel Corporation</spirit:vendor>
-  <spirit:library>ip_arria10_e1sg_jesd204b_rx_reset_control_12_reset_in</spirit:library>
-  <spirit:name>reset_in</spirit:name>
-  <spirit:version>18.0</spirit:version>
-  <spirit:busInterfaces>
-    <spirit:busInterface>
-      <spirit:name>clk</spirit:name>
-      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
-      <spirit:slave></spirit:slave>
-      <spirit:portMaps>
-        <spirit:portMap>
-          <spirit:logicalPort>
-            <spirit:name>clk</spirit:name>
-          </spirit:logicalPort>
-          <spirit:physicalPort>
-            <spirit:name>clk</spirit:name>
-          </spirit:physicalPort>
-        </spirit:portMap>
-      </spirit:portMaps>
-      <spirit:parameters>
-        <spirit:parameter>
-          <spirit:name>clockRate</spirit:name>
-          <spirit:displayName>Clock rate</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>externallyDriven</spirit:name>
-          <spirit:displayName>Externally driven</spirit:displayName>
-          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>ptfSchematicName</spirit:name>
-          <spirit:displayName>PTF schematic name</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
-        </spirit:parameter>
-      </spirit:parameters>
-    </spirit:busInterface>
-    <spirit:busInterface>
-      <spirit:name>in_reset</spirit:name>
-      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
-      <spirit:slave></spirit:slave>
-      <spirit:portMaps>
-        <spirit:portMap>
-          <spirit:logicalPort>
-            <spirit:name>reset</spirit:name>
-          </spirit:logicalPort>
-          <spirit:physicalPort>
-            <spirit:name>in_reset</spirit:name>
-          </spirit:physicalPort>
-        </spirit:portMap>
-      </spirit:portMaps>
-      <spirit:parameters>
-        <spirit:parameter>
-          <spirit:name>associatedClock</spirit:name>
-          <spirit:displayName>Associated clock</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>synchronousEdges</spirit:name>
-          <spirit:displayName>Synchronous edges</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
-        </spirit:parameter>
-      </spirit:parameters>
-    </spirit:busInterface>
-    <spirit:busInterface>
-      <spirit:name>out_reset</spirit:name>
-      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
-      <spirit:master></spirit:master>
-      <spirit:portMaps>
-        <spirit:portMap>
-          <spirit:logicalPort>
-            <spirit:name>reset</spirit:name>
-          </spirit:logicalPort>
-          <spirit:physicalPort>
-            <spirit:name>out_reset</spirit:name>
-          </spirit:physicalPort>
-        </spirit:portMap>
-      </spirit:portMaps>
-      <spirit:parameters>
-        <spirit:parameter>
-          <spirit:name>associatedClock</spirit:name>
-          <spirit:displayName>Associated clock</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>associatedDirectReset</spirit:name>
-          <spirit:displayName>Associated direct reset</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="associatedDirectReset">in_reset</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>associatedResetSinks</spirit:name>
-          <spirit:displayName>Associated reset sinks</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">in_reset</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>synchronousEdges</spirit:name>
-          <spirit:displayName>Synchronous edges</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
-        </spirit:parameter>
-      </spirit:parameters>
-    </spirit:busInterface>
-  </spirit:busInterfaces>
-  <spirit:model>
-    <spirit:views>
-      <spirit:view>
-        <spirit:name>QUARTUS_SYNTH</spirit:name>
-        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
-        <spirit:modelName>altera_reset_bridge</spirit:modelName>
-        <spirit:fileSetRef>
-          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
-        </spirit:fileSetRef>
-      </spirit:view>
-    </spirit:views>
-    <spirit:ports>
-      <spirit:port>
-        <spirit:name>clk</spirit:name>
-        <spirit:wire>
-          <spirit:direction>in</spirit:direction>
-          <spirit:wireTypeDefs>
-            <spirit:wireTypeDef>
-              <spirit:typeName>STD_LOGIC</spirit:typeName>
-              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
-            </spirit:wireTypeDef>
-          </spirit:wireTypeDefs>
-        </spirit:wire>
-      </spirit:port>
-      <spirit:port>
-        <spirit:name>in_reset</spirit:name>
-        <spirit:wire>
-          <spirit:direction>in</spirit:direction>
-          <spirit:wireTypeDefs>
-            <spirit:wireTypeDef>
-              <spirit:typeName>STD_LOGIC</spirit:typeName>
-              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
-            </spirit:wireTypeDef>
-          </spirit:wireTypeDefs>
-        </spirit:wire>
-      </spirit:port>
-      <spirit:port>
-        <spirit:name>out_reset</spirit:name>
-        <spirit:wire>
-          <spirit:direction>out</spirit:direction>
-          <spirit:wireTypeDefs>
-            <spirit:wireTypeDef>
-              <spirit:typeName>STD_LOGIC</spirit:typeName>
-              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
-            </spirit:wireTypeDef>
-          </spirit:wireTypeDefs>
-        </spirit:wire>
-      </spirit:port>
-    </spirit:ports>
-  </spirit:model>
-  <spirit:vendorExtensions>
-    <altera:entity_info>
-      <spirit:vendor>Intel Corporation</spirit:vendor>
-      <spirit:library>ip_arria10_e1sg_jesd204b_rx_reset_control_12_reset_in</spirit:library>
-      <spirit:name>altera_reset_bridge</spirit:name>
-      <spirit:version>18.0</spirit:version>
-    </altera:entity_info>
-    <altera:altera_module_parameters>
-      <spirit:parameters>
-        <spirit:parameter>
-          <spirit:name>ACTIVE_LOW_RESET</spirit:name>
-          <spirit:displayName>Active low reset</spirit:displayName>
-          <spirit:value spirit:format="long" spirit:id="ACTIVE_LOW_RESET">0</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>SYNCHRONOUS_EDGES</spirit:name>
-          <spirit:displayName>Synchronous edges</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="SYNCHRONOUS_EDGES">deassert</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>NUM_RESET_OUTPUTS</spirit:name>
-          <spirit:displayName>Number of reset outputs</spirit:displayName>
-          <spirit:value spirit:format="long" spirit:id="NUM_RESET_OUTPUTS">1</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>USE_RESET_REQUEST</spirit:name>
-          <spirit:displayName>Use reset request signal</spirit:displayName>
-          <spirit:value spirit:format="long" spirit:id="USE_RESET_REQUEST">0</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>SYNC_RESET</spirit:name>
-          <spirit:displayName>Use synchronous resets</spirit:displayName>
-          <spirit:value spirit:format="long" spirit:id="SYNC_RESET">0</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>AUTO_CLK_CLOCK_RATE</spirit:name>
-          <spirit:displayName>Auto CLOCK_RATE</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="AUTO_CLK_CLOCK_RATE">50000000</spirit:value>
-        </spirit:parameter>
-      </spirit:parameters>
-    </altera:altera_module_parameters>
-    <altera:altera_system_parameters>
-      <spirit:parameters>
-        <spirit:parameter>
-          <spirit:name>device</spirit:name>
-          <spirit:displayName>Device</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>deviceFamily</spirit:name>
-          <spirit:displayName>Device family</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>deviceSpeedGrade</spirit:name>
-          <spirit:displayName>Device Speed Grade</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>generationId</spirit:name>
-          <spirit:displayName>Generation Id</spirit:displayName>
-          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>bonusData</spirit:name>
-          <spirit:displayName>bonusData</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
-{
-}
-</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>hideFromIPCatalog</spirit:name>
-          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
-          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>lockedInterfaceDefinition</spirit:name>
-          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>in_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>in_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>out_reset</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>out_reset</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                        <value>in_reset</value>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>in_reset</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></spirit:value>
-        </spirit:parameter>
-        <spirit:parameter>
-          <spirit:name>systemInfos</spirit:name>
-          <spirit:displayName>systemInfos</spirit:displayName>
-          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
-    <connPtSystemInfos>
-        <entry>
-            <key>clk</key>
-            <value>
-                <connectionPointName>clk</connectionPointName>
-                <suppliedSystemInfos>
-                    <entry>
-                        <key>CLOCK_RATE</key>
-                        <value>50000000</value>
-                    </entry>
-                </suppliedSystemInfos>
-                <consumedSystemInfos/>
-            </value>
-        </entry>
-    </connPtSystemInfos>
-</systemInfosDefinition>]]></spirit:value>
-        </spirit:parameter>
-      </spirit:parameters>
-    </altera:altera_system_parameters>
-    <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="clk" altera:internal="reset_in.clk" altera:type="clock" altera:dir="end">
-        <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="in_reset" altera:internal="reset_in.in_reset" altera:type="reset" altera:dir="end">
-        <altera:port_mapping altera:name="in_reset" altera:internal="in_reset"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="out_reset" altera:internal="reset_in.out_reset" altera:type="reset" altera:dir="start">
-        <altera:port_mapping altera:name="out_reset" altera:internal="out_reset"></altera:port_mapping>
-      </altera:interface_mapping>
-    </altera:altera_interface_boundary>
-    <altera:altera_has_warnings>false</altera:altera_has_warnings>
-    <altera:altera_has_errors>false</altera:altera_has_errors>
-  </spirit:vendorExtensions>
-</spirit:component>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz.ip b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz.ip
index 02bb23216d2903b6d91eb451973df594b7f0f8fd..6ee8d10ff6e2fde9bc54337adf28e724b62744c8 100644
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz.ip
+++ b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz.ip
@@ -1,3838 +1,3042 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>Intel Corporation</ipxact:vendor>
-  <ipxact:library>ip_arria10_e1sg_jesd204b_rx</ipxact:library>
-  <ipxact:name>jesd204_0</ipxact:name>
-  <ipxact:version>19.2.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>rxlink_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rxlink_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rxlink_rst_n</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset_n</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rxlink_rst_n_reset_n</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>rxlink_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_avs_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_avs_rst_n</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset_n</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_rst_n</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_avs</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>chipselect</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_chipselect</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>waitrequest</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_waitrequest</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>1024</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_rst_n</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>Intel Corporation</spirit:vendor>
+  <spirit:library>ip_arria10_e1sg_jesd204b_rx_200MHz</spirit:library>
+  <spirit:name>jesd204_0</spirit:name>
+  <spirit:version>18.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>alldev_lane_aligned</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>alldev_lane_aligned</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_link</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>data</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_link_data</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>valid</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_link_valid</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>ready</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_link_ready</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value>rxlink_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value>rxlink_rst_n</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="beatsPerCycle" type="int">
-          <ipxact:name>beatsPerCycle</ipxact:name>
-          <ipxact:displayName>Beats Per Cycle</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dataBitsPerSymbol" type="int">
-          <ipxact:name>dataBitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Data bits per symbol</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="emptyWithinPacket" type="bit">
-          <ipxact:name>emptyWithinPacket</ipxact:name>
-          <ipxact:displayName>emptyWithinPacket</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="errorDescriptor" type="string">
-          <ipxact:name>errorDescriptor</ipxact:name>
-          <ipxact:displayName>Error descriptor</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit">
-          <ipxact:name>firstSymbolInHighOrderBits</ipxact:name>
-          <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit">
-          <ipxact:name>highOrderSymbolAtMSB</ipxact:name>
-          <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maxChannel" type="int">
-          <ipxact:name>maxChannel</ipxact:name>
-          <ipxact:displayName>Maximum channel</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="packetDescription" type="string">
-          <ipxact:name>packetDescription</ipxact:name>
-          <ipxact:displayName>Packet description </ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readyAllowance" type="int">
-          <ipxact:name>readyAllowance</ipxact:name>
-          <ipxact:displayName>Ready allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readyLatency" type="int">
-          <ipxact:name>readyLatency</ipxact:name>
-          <ipxact:displayName>Ready latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="symbolsPerBeat" type="int">
-          <ipxact:name>symbolsPerBeat</ipxact:name>
-          <ipxact:displayName>Symbols per beat  </ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>sof</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>sof</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_cf</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_cf</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>somf</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>somf</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_cs</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_cs</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>alldev_lane_aligned</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>alldev_lane_aligned</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_f</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_f</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>dev_lane_aligned</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>dev_lane_aligned</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_hd</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_hd</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>dev_sync_n</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>dev_sync_n</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_k</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_k</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>sysref</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>sysref</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_l</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_l</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_int</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>irq</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_int</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedAddressablePoint" type="string">
-          <ipxact:name>associatedAddressablePoint</ipxact:name>
-          <ipxact:displayName>Associated addressable interface</ipxact:displayName>
-          <ipxact:value>ip_arria10_e1sg_jesd204b_rx.jesd204_rx_avs</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_rst_n</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedReceiverOffset" type="longint">
-          <ipxact:name>bridgedReceiverOffset</ipxact:name>
-          <ipxact:displayName>Bridged receiver offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToReceiver" type="string">
-          <ipxact:name>bridgesToReceiver</ipxact:name>
-          <ipxact:displayName>Bridges to receiver</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="irqScheme" type="string">
-          <ipxact:name>irqScheme</ipxact:name>
-          <ipxact:displayName>Interrupt scheme</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_rx_testmode</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_rx_testmode</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_lane_powerdown</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_lane_powerdown</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_f</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_f</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_m</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_m</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_k</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_k</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_n</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_l</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_l</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_np</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_np</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_m</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_m</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_rx_testmode</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_rx_testmode</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_n</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_n</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_s</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_s</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_s</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_s</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>dev_lane_aligned</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>dev_lane_aligned</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_cf</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_cf</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>dev_sync_n</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>dev_sync_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_cs</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_cs</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_avs</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>chipselect</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_avs_chipselect</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_avs_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_avs_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_avs_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>waitrequest</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_avs_waitrequest</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_avs_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_avs_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">1024</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">jesd204_rx_avs_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">jesd204_rx_avs_rst_n</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_hd</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_hd</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_avs_clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_avs_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_avs_rst_n</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset_n</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_avs_rst_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">jesd204_rx_avs_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_dlb_data</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_dlb_data</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_np</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_np</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_dlb_data_valid</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_dlb_data_valid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_lane_powerdown</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_lane_powerdown</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_dlb_disperr</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_dlb_disperr</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_frame_error</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_frame_error</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_dlb_errdetect</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_dlb_errdetect</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_data</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_data</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_dlb_kchar_data</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_dlb_kchar_data</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_data_valid</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_data_valid</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_frame_error</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_frame_error</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_kchar_data</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_kchar_data</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_int</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>irq</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_int</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedAddressablePoint</spirit:name>
+          <spirit:displayName>Associated addressable interface</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">ip_arria10_e1sg_jesd204b_rx_200MHz.jesd204_rx_avs</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">jesd204_rx_avs_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">jesd204_rx_avs_rst_n</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedReceiverOffset</spirit:name>
+          <spirit:displayName>Bridged receiver offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bridgedReceiverOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToReceiver</spirit:name>
+          <spirit:displayName>Bridges to receiver</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToReceiver"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>irqScheme</spirit:name>
+          <spirit:displayName>Interrupt scheme</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="irqScheme">NONE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>jesd204_rx_link</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>data</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_link_data</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>valid</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_link_valid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ready</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>jesd204_rx_link_ready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">rxlink_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">rxlink_rst_n</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>beatsPerCycle</spirit:name>
+          <spirit:displayName>Beats Per Cycle</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dataBitsPerSymbol</spirit:name>
+          <spirit:displayName>Data bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>emptyWithinPacket</spirit:name>
+          <spirit:displayName>emptyWithinPacket</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>errorDescriptor</spirit:name>
+          <spirit:displayName>Error descriptor</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>firstSymbolInHighOrderBits</spirit:name>
+          <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>highOrderSymbolAtMSB</spirit:name>
+          <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maxChannel</spirit:name>
+          <spirit:displayName>Maximum channel</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>packetDescription</spirit:name>
+          <spirit:displayName>Packet description </spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readyAllowance</spirit:name>
+          <spirit:displayName>Ready allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readyLatency</spirit:name>
+          <spirit:displayName>Ready latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>symbolsPerBeat</spirit:name>
+          <spirit:displayName>Symbols per beat  </spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>pll_ref_clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>pll_ref_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_analogreset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_analogreset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_analogreset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_errdetect</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_errdetect</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_cal_busy</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_cal_busy</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_cal_busy</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_disperr</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_disperr</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_digitalreset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_digitalreset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_digitalreset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>pll_ref_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>pll_ref_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rxphy_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rxphy_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_islockedtodata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_is_lockedtodata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_islockedtodata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_islockedtodata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_is_lockedtodata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_islockedtodata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_serial_data</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_serial_data</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_serial_data</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_cal_busy</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_cal_busy</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_cal_busy</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rxlink_clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rxlink_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rxlink_rst_n</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset_n</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rxlink_rst_n_reset_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">rxlink_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rxphy_clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rxphy_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_analogreset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_analogreset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_analogreset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>sof</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>sof</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_digitalreset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_digitalreset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_digitalreset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>somf</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>somf</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_serial_data</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_serial_data</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_serial_data</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>sysref</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>sysref</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>altera_jesd204</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>rxlink_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rxlink_rst_n_reset_n</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_rst_n</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_chipselect</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>7</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_waitrequest</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_link_data</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_link_valid</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_link_ready</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>sof</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>somf</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>alldev_lane_aligned</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>dev_lane_aligned</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>dev_sync_n</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>sysref</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_int</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_rx_testmode</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_f</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>7</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_k</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_l</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_m</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>7</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_n</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_s</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_cf</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_cs</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>1</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_hd</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_np</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_lane_powerdown</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_frame_error</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_data</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_data_valid</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_kchar_data</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_errdetect</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_disperr</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>pll_ref_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rxphy_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_islockedtodata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_cal_busy</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_analogreset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_digitalreset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_serial_data</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>altera_jesd204</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>alldev_lane_aligned</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_cf</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>4</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_cs</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>1</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_f</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>7</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_hd</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_k</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>4</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_l</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>4</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_lane_powerdown</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_m</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>7</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>4</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_np</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>4</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_rx_testmode</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_s</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>4</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>dev_lane_aligned</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>dev_sync_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_avs_chipselect</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_avs_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>7</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_avs_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_avs_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_avs_waitrequest</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_avs_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_avs_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_avs_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_avs_rst_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_dlb_data</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_dlb_data_valid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_dlb_disperr</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_dlb_errdetect</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_dlb_kchar_data</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_frame_error</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_int</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_link_data</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_link_valid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>jesd204_rx_link_ready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>pll_ref_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_analogreset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_cal_busy</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_digitalreset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_islockedtodata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_serial_data</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rxlink_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rxlink_rst_n_reset_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rxphy_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>sof</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>somf</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>3</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>sysref</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>Intel Corporation</ipxact:vendor>
-      <ipxact:library>ip_arria10_e1sg_jesd204b_rx</ipxact:library>
-      <ipxact:name>altera_jesd204</ipxact:name>
-      <ipxact:version>19.2.0</ipxact:version>
+      <spirit:vendor>Intel Corporation</spirit:vendor>
+      <spirit:library>ip_arria10_e1sg_jesd204b_rx_200MHz</spirit:library>
+      <spirit:name>altera_jesd204</spirit:name>
+      <spirit:version>18.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="wrapper_opt" type="string">
-          <ipxact:name>wrapper_opt</ipxact:name>
-          <ipxact:displayName>Jesd204b wrapper</ipxact:displayName>
-          <ipxact:value>base_phy</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="sdc_constraint" type="real">
-          <ipxact:name>sdc_constraint</ipxact:name>
-          <ipxact:displayName>Set constraint for sdc</ipxact:displayName>
-          <ipxact:value>1.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DEVICE_FAMILY" type="string">
-          <ipxact:name>DEVICE_FAMILY</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="part_trait_dp" type="string">
-          <ipxact:name>part_trait_dp</ipxact:name>
-          <ipxact:displayName>Device Part</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DEVICE_SPEEDGRADE" type="string">
-          <ipxact:name>DEVICE_SPEEDGRADE</ipxact:name>
-          <ipxact:displayName>Device Speedgrade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DATA_PATH" type="string">
-          <ipxact:name>DATA_PATH</ipxact:name>
-          <ipxact:displayName>Data path</ipxact:displayName>
-          <ipxact:value>RX</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SUBCLASSV" type="int">
-          <ipxact:name>SUBCLASSV</ipxact:name>
-          <ipxact:displayName>Jesd204b subclass</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lane_rate" type="real">
-          <ipxact:name>lane_rate</ipxact:name>
-          <ipxact:displayName>Data rate</ipxact:displayName>
-          <ipxact:value>4000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="PCS_CONFIG" type="string">
-          <ipxact:name>PCS_CONFIG</ipxact:name>
-          <ipxact:displayName>PCS Option</ipxact:displayName>
-          <ipxact:value>JESD_PCS_CFG1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_type" type="string">
-          <ipxact:name>pll_type</ipxact:name>
-          <ipxact:displayName>PLL Type</ipxact:displayName>
-          <ipxact:value>CMU</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonded_mode" type="string">
-          <ipxact:name>bonded_mode</ipxact:name>
-          <ipxact:displayName>Bonding Mode </ipxact:displayName>
-          <ipxact:value>bonded</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="REFCLK_FREQ" type="real">
-          <ipxact:name>REFCLK_FREQ</ipxact:name>
-          <ipxact:displayName>PLL/CDR Reference Clock Frequency</ipxact:displayName>
-          <ipxact:value>200.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_analog_voltage" type="string">
-          <ipxact:name>gui_analog_voltage</ipxact:name>
-          <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName>
-          <ipxact:value>1_0V</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitrev_en" type="bit">
-          <ipxact:name>bitrev_en</ipxact:name>
-          <ipxact:displayName>Enable Bit reversal and Byte reversal</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_reconfig_enable" type="bit">
-          <ipxact:name>pll_reconfig_enable</ipxact:name>
-          <ipxact:displayName>Enable Transceiver Dynamic Reconfiguration</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rcfg_jtag_enable" type="bit">
-          <ipxact:name>rcfg_jtag_enable</ipxact:name>
-          <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rcfg_shared" type="bit">
-          <ipxact:name>rcfg_shared</ipxact:name>
-          <ipxact:displayName>Share Reconfiguration Interface</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rcfg_enable_split_interface" type="bit">
-          <ipxact:name>rcfg_enable_split_interface</ipxact:name>
-          <ipxact:displayName>Provide Separate Reconfiguration Interface for Each Channel</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="set_capability_reg_enable" type="bit">
-          <ipxact:name>set_capability_reg_enable</ipxact:name>
-          <ipxact:displayName>Enable Capability Registers</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="set_user_identifier" type="int">
-          <ipxact:name>set_user_identifier</ipxact:name>
-          <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="bit">
-          <ipxact:name>set_csr_soft_logic_enable</ipxact:name>
-          <ipxact:displayName>Enable Control and Status Registers</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="bit">
-          <ipxact:name>set_prbs_soft_logic_enable</ipxact:name>
-          <ipxact:displayName>Enable PRBS Soft Accumulators</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="L" type="int">
-          <ipxact:name>L</ipxact:name>
-          <ipxact:displayName>Lanes per converter device (L)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="M" type="int">
-          <ipxact:name>M</ipxact:name>
-          <ipxact:displayName>Converters per device (M)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="GUI_EN_CFG_F" type="bit">
-          <ipxact:name>GUI_EN_CFG_F</ipxact:name>
-          <ipxact:displayName>Enable manual F configuration</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="GUI_CFG_F" type="int">
-          <ipxact:name>GUI_CFG_F</ipxact:name>
-          <ipxact:displayName>Octets per frame (F)</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="F" type="int">
-          <ipxact:name>F</ipxact:name>
-          <ipxact:displayName>Octets per frame (F)</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="N" type="int">
-          <ipxact:name>N</ipxact:name>
-          <ipxact:displayName>Converter resolution (N)</ipxact:displayName>
-          <ipxact:value>14</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="N_PRIME" type="int">
-          <ipxact:name>N_PRIME</ipxact:name>
-          <ipxact:displayName>Transmitted bits per sample (N')</ipxact:displayName>
-          <ipxact:value>16</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="S" type="int">
-          <ipxact:name>S</ipxact:name>
-          <ipxact:displayName>Samples per converter per frame (S)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="K" type="int">
-          <ipxact:name>K</ipxact:name>
-          <ipxact:displayName>Frames per multiframe (K)</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SCR" type="int">
-          <ipxact:name>SCR</ipxact:name>
-          <ipxact:displayName>Enable scramble (SCR)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="CS" type="int">
-          <ipxact:name>CS</ipxact:name>
-          <ipxact:displayName>Control Bits (CS)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="CF" type="int">
-          <ipxact:name>CF</ipxact:name>
-          <ipxact:displayName>Control Words (CF)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="HD" type="int">
-          <ipxact:name>HD</ipxact:name>
-          <ipxact:displayName>High Density user data format (HD)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ECC_EN" type="bit">
-          <ipxact:name>ECC_EN</ipxact:name>
-          <ipxact:displayName>Enable Error Code Correction (ECC_EN)</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DLB_TEST" type="bit">
-          <ipxact:name>DLB_TEST</ipxact:name>
-          <ipxact:displayName>Enable Digital Loop Back Test (DLB_TEST)</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="PHADJ" type="int">
-          <ipxact:name>PHADJ</ipxact:name>
-          <ipxact:displayName>Phase adjustment request (PHADJ)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ADJCNT" type="int">
-          <ipxact:name>ADJCNT</ipxact:name>
-          <ipxact:displayName>Adjustment resolution step count (ADJCNT)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ADJDIR" type="int">
-          <ipxact:name>ADJDIR</ipxact:name>
-          <ipxact:displayName>Direction of adjustment (ADJDIR)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="OPTIMIZE" type="int">
-          <ipxact:name>OPTIMIZE</ipxact:name>
-          <ipxact:displayName>CSR Programmability</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DID" type="int">
-          <ipxact:name>DID</ipxact:name>
-          <ipxact:displayName>Device ID</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="BID" type="int">
-          <ipxact:name>BID</ipxact:name>
-          <ipxact:displayName>Bank ID</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID0" type="int">
-          <ipxact:name>LID0</ipxact:name>
-          <ipxact:displayName>Lane0 ID</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK0" type="int">
-          <ipxact:name>FCHK0</ipxact:name>
-          <ipxact:displayName>Lane0 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID1" type="int">
-          <ipxact:name>LID1</ipxact:name>
-          <ipxact:displayName>Lane1 ID</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK1" type="int">
-          <ipxact:name>FCHK1</ipxact:name>
-          <ipxact:displayName>Lane1 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID2" type="int">
-          <ipxact:name>LID2</ipxact:name>
-          <ipxact:displayName>Lane2 ID</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK2" type="int">
-          <ipxact:name>FCHK2</ipxact:name>
-          <ipxact:displayName>Lane2 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID3" type="int">
-          <ipxact:name>LID3</ipxact:name>
-          <ipxact:displayName>Lane3 ID</ipxact:displayName>
-          <ipxact:value>3</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK3" type="int">
-          <ipxact:name>FCHK3</ipxact:name>
-          <ipxact:displayName>Lane3 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID4" type="int">
-          <ipxact:name>LID4</ipxact:name>
-          <ipxact:displayName>Lane4 ID</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK4" type="int">
-          <ipxact:name>FCHK4</ipxact:name>
-          <ipxact:displayName>Lane4 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID5" type="int">
-          <ipxact:name>LID5</ipxact:name>
-          <ipxact:displayName>Lane5 ID</ipxact:displayName>
-          <ipxact:value>5</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK5" type="int">
-          <ipxact:name>FCHK5</ipxact:name>
-          <ipxact:displayName>Lane5 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID6" type="int">
-          <ipxact:name>LID6</ipxact:name>
-          <ipxact:displayName>Lane6 ID</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK6" type="int">
-          <ipxact:name>FCHK6</ipxact:name>
-          <ipxact:displayName>Lane6 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID7" type="int">
-          <ipxact:name>LID7</ipxact:name>
-          <ipxact:displayName>Lane7 ID</ipxact:displayName>
-          <ipxact:value>7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK7" type="int">
-          <ipxact:name>FCHK7</ipxact:name>
-          <ipxact:displayName>Lane7 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="d_refclk_freq" type="real">
-          <ipxact:name>d_refclk_freq</ipxact:name>
-          <ipxact:displayName>PLL/CDR Reference Clock Frequency</ipxact:displayName>
-          <ipxact:value>200.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="JESDV" type="int">
-          <ipxact:name>JESDV</ipxact:name>
-          <ipxact:displayName>JESDV</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="PMA_WIDTH" type="int">
-          <ipxact:name>PMA_WIDTH</ipxact:name>
-          <ipxact:displayName>PMA_WIDTH</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SER_SIZE" type="int">
-          <ipxact:name>SER_SIZE</ipxact:name>
-          <ipxact:displayName>SER_SIZE</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FK" type="int">
-          <ipxact:name>FK</ipxact:name>
-          <ipxact:displayName>FK</ipxact:displayName>
-          <ipxact:value>64</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="RES1" type="int">
-          <ipxact:name>RES1</ipxact:name>
-          <ipxact:displayName>RES1</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="RES2" type="int">
-          <ipxact:name>RES2</ipxact:name>
-          <ipxact:displayName>RES2</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="BIT_REVERSAL" type="int">
-          <ipxact:name>BIT_REVERSAL</ipxact:name>
-          <ipxact:displayName>BIT_REVERSAL</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="BYTE_REVERSAL" type="int">
-          <ipxact:name>BYTE_REVERSAL</ipxact:name>
-          <ipxact:displayName>BYTE_REVERSAL</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ALIGNMENT_PATTERN" type="int">
-          <ipxact:name>ALIGNMENT_PATTERN</ipxact:name>
-          <ipxact:displayName>ALIGNMENT_PATTERN</ipxact:displayName>
-          <ipxact:value>658812</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="PULSE_WIDTH" type="int">
-          <ipxact:name>PULSE_WIDTH</ipxact:name>
-          <ipxact:displayName>PULSE_WIDTH</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LS_FIFO_DEPTH" type="int">
-          <ipxact:name>LS_FIFO_DEPTH</ipxact:name>
-          <ipxact:displayName>LS_FIFO_DEPTH</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LS_FIFO_WIDTHU" type="int">
-          <ipxact:name>LS_FIFO_WIDTHU</ipxact:name>
-          <ipxact:displayName>LS_FIFO_WIDTHU</ipxact:displayName>
-          <ipxact:value>5</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="UNUSED_TX_PARALLEL_WIDTH" type="int">
-          <ipxact:name>UNUSED_TX_PARALLEL_WIDTH</ipxact:name>
-          <ipxact:displayName>UNUSED_TX_PARALLEL_WIDTH</ipxact:displayName>
-          <ipxact:value>92</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="UNUSED_RX_PARALLEL_WIDTH" type="int">
-          <ipxact:name>UNUSED_RX_PARALLEL_WIDTH</ipxact:name>
-          <ipxact:displayName>UNUSED_RX_PARALLEL_WIDTH</ipxact:displayName>
-          <ipxact:value>72</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="XCVR_PLL_LOCKED_WIDTH" type="int">
-          <ipxact:name>XCVR_PLL_LOCKED_WIDTH</ipxact:name>
-          <ipxact:displayName>XCVR_PLL_LOCKED_WIDTH</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="RECONFIG_ADDRESS_WIDTH" type="int">
-          <ipxact:name>RECONFIG_ADDRESS_WIDTH</ipxact:name>
-          <ipxact:displayName>RECONFIG_ADDRESS_WIDTH</ipxact:displayName>
-          <ipxact:value>10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DEPTH_PIPE" type="int">
-          <ipxact:name>DEPTH_PIPE</ipxact:name>
-          <ipxact:displayName>Pipeline stages for link_clk domain reset signal</ipxact:displayName>
-          <ipxact:value>3</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="xcvr_ip" type="string">
-          <ipxact:name>xcvr_ip</ipxact:name>
-          <ipxact:displayName>xcvr_ip</ipxact:displayName>
-          <ipxact:value>ltile</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="die_types" type="string">
-          <ipxact:name>die_types</ipxact:name>
-          <ipxact:displayName>die_types</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="die_revisions" type="string">
-          <ipxact:name>die_revisions</ipxact:name>
-          <ipxact:displayName>die_revisions</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="support_c1" type="bit">
-          <ipxact:name>support_c1</ipxact:name>
-          <ipxact:displayName>support_c1</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="support_c2" type="bit">
-          <ipxact:name>support_c2</ipxact:name>
-          <ipxact:displayName>support_c2</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="support_c3" type="bit">
-          <ipxact:name>support_c3</ipxact:name>
-          <ipxact:displayName>support_c3</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="crete_tile_status" type="string">
-          <ipxact:name>crete_tile_status</ipxact:name>
-          <ipxact:displayName>Transceiver Tile</ipxact:displayName>
-          <ipxact:value>ltile</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_user_crete_tile" type="string">
-          <ipxact:name>gui_user_crete_tile</ipxact:name>
-          <ipxact:displayName>Transceiver Tile</ipxact:displayName>
-          <ipxact:value>htile</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="TEST_COMPONENTS_EN" type="bit">
-          <ipxact:name>TEST_COMPONENTS_EN</ipxact:name>
-          <ipxact:displayName>Add Test Components</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="TERMINATE_RECONFIG_EN" type="bit">
-          <ipxact:name>TERMINATE_RECONFIG_EN</ipxact:name>
-          <ipxact:displayName>Terminate Reconfig Signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_TYPE" type="string">
-          <ipxact:name>ED_TYPE</ipxact:name>
-          <ipxact:displayName>Select Design</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_FILESET_SIM" type="bit">
-          <ipxact:name>ED_FILESET_SIM</ipxact:name>
-          <ipxact:displayName>Simulation</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_FILESET_SYNTH" type="bit">
-          <ipxact:name>ED_FILESET_SYNTH</ipxact:name>
-          <ipxact:displayName>Synthesis</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_HDL_FORMAT_SIM" type="string">
-          <ipxact:name>ED_HDL_FORMAT_SIM</ipxact:name>
-          <ipxact:displayName>HDL Format</ipxact:displayName>
-          <ipxact:value>VERILOG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_SIM_PAT_TESTMODE" type="string">
-          <ipxact:name>ED_SIM_PAT_TESTMODE</ipxact:name>
-          <ipxact:displayName>Test pattern</ipxact:displayName>
-          <ipxact:value>PRBS_7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_HDL_FORMAT_SYNTH" type="string">
-          <ipxact:name>ED_HDL_FORMAT_SYNTH</ipxact:name>
-          <ipxact:displayName>HDL Format</ipxact:displayName>
-          <ipxact:value>VERILOG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_DEV_KIT" type="string">
-          <ipxact:name>ED_DEV_KIT</ipxact:name>
-          <ipxact:displayName>Select Board</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="GUI_ED_DEV_KIT" type="string">
-          <ipxact:name>GUI_ED_DEV_KIT</ipxact:name>
-          <ipxact:displayName>Select Board</ipxact:displayName>
-          <ipxact:value>None</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_SINGLE_REFCLK" type="bit">
-          <ipxact:name>ED_SINGLE_REFCLK</ipxact:name>
-          <ipxact:displayName>Single reference clock (Advanced users only. Not recommended.)</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_3WIRE_SPI" type="bit">
-          <ipxact:name>ED_3WIRE_SPI</ipxact:name>
-          <ipxact:displayName>Generate 3-wire SPI module</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SELECT_CUSTOM_DEVICE" type="bit">
-          <ipxact:name>SELECT_CUSTOM_DEVICE</ipxact:name>
-          <ipxact:displayName>Change Target Device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DYN_CSR" type="string">
-          <ipxact:name>DYN_CSR</ipxact:name>
-          <ipxact:displayName>Dynamic CSR Configuration for DV purpose</ipxact:displayName>
-          <ipxact:value>DISABLE</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rcp_load_enable" type="int">
-          <ipxact:name>rcp_load_enable</ipxact:name>
-          <ipxact:displayName>Enable adaptation load soft IP</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="enable_adpt_multi_recipe" type="int">
-          <ipxact:name>enable_adpt_multi_recipe</ipxact:name>
-          <ipxact:displayName>enable_adpt_multi_recipe</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="cal_recipe_sel" type="string">
-          <ipxact:name>cal_recipe_sel</ipxact:name>
-          <ipxact:displayName>PMA adaptation Select </ipxact:displayName>
-          <ipxact:value>NRZ_28Gbps_VSR</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="enable_pma_adpt_disp" type="int">
-          <ipxact:name>enable_pma_adpt_disp</ipxact:name>
-          <ipxact:displayName>enable_pma_adpt_disp</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_val_a" type="int">
-          <ipxact:name>ctle_lf_val_a</ipxact:name>
-          <ipxact:displayName>GAINLF</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_val_ada_a" type="string">
-          <ipxact:name>ctle_lf_val_ada_a</ipxact:name>
-          <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_min_a" type="int">
-          <ipxact:name>ctle_lf_min_a</ipxact:name>
-          <ipxact:displayName>CTLE LF Min</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_max_a" type="int">
-          <ipxact:name>ctle_lf_max_a</ipxact:name>
-          <ipxact:displayName>CTLE LF Max</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_val_a" type="int">
-          <ipxact:name>ctle_hf_val_a</ipxact:name>
-          <ipxact:displayName>GAINHF</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_val_ada_a" type="string">
-          <ipxact:name>ctle_hf_val_ada_a</ipxact:name>
-          <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_min_a" type="int">
-          <ipxact:name>ctle_hf_min_a</ipxact:name>
-          <ipxact:displayName>CTLE HF Min</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_max_a" type="int">
-          <ipxact:name>ctle_hf_max_a</ipxact:name>
-          <ipxact:displayName>CTLE HF Max</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_val_a" type="int">
-          <ipxact:name>rf_p2_val_a</ipxact:name>
-          <ipxact:displayName>RF_P2</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_val_ada_a" type="string">
-          <ipxact:name>rf_p2_val_ada_a</ipxact:name>
-          <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_min_a" type="int">
-          <ipxact:name>rf_p2_min_a</ipxact:name>
-          <ipxact:displayName>RF_P2_MIN</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_max_a" type="int">
-          <ipxact:name>rf_p2_max_a</ipxact:name>
-          <ipxact:displayName>RF_P2_MAX</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_val_a" type="int">
-          <ipxact:name>rf_p1_val_a</ipxact:name>
-          <ipxact:displayName>RF_P1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_val_ada_a" type="string">
-          <ipxact:name>rf_p1_val_ada_a</ipxact:name>
-          <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_min_a" type="int">
-          <ipxact:name>rf_p1_min_a</ipxact:name>
-          <ipxact:displayName>RF_P1_MIN</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_max_a" type="int">
-          <ipxact:name>rf_p1_max_a</ipxact:name>
-          <ipxact:displayName>RF_P1_MAX</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_reserved0_a" type="int">
-          <ipxact:name>rf_reserved0_a</ipxact:name>
-          <ipxact:displayName>Reserved 0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p0_val_a" type="int">
-          <ipxact:name>rf_p0_val_a</ipxact:name>
-          <ipxact:displayName>RF_P0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p0_val_ada_a" type="string">
-          <ipxact:name>rf_p0_val_ada_a</ipxact:name>
-          <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_reserved1_a" type="int">
-          <ipxact:name>rf_reserved1_a</ipxact:name>
-          <ipxact:displayName>Reserved 1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0t_a" type="int">
-          <ipxact:name>rf_b0t_a</ipxact:name>
-          <ipxact:displayName>RF_B0T</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_gs1_val_a" type="int">
-          <ipxact:name>ctle_gs1_val_a</ipxact:name>
-          <ipxact:displayName>GS1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_gs2_val_a" type="int">
-          <ipxact:name>ctle_gs2_val_a</ipxact:name>
-          <ipxact:displayName>GS2</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b1_a" type="int">
-          <ipxact:name>rf_b1_a</ipxact:name>
-          <ipxact:displayName>RF_B1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b1_ada_a" type="string">
-          <ipxact:name>rf_b1_ada_a</ipxact:name>
-          <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0_a" type="int">
-          <ipxact:name>rf_b0_a</ipxact:name>
-          <ipxact:displayName>RF_B0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0_ada_a" type="string">
-          <ipxact:name>rf_b0_ada_a</ipxact:name>
-          <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_a_a" type="int">
-          <ipxact:name>rf_a_a</ipxact:name>
-          <ipxact:displayName>RF_A</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_ctle_frz_a" type="int">
-          <ipxact:name>l_ctle_frz_a</ipxact:name>
-          <ipxact:displayName>l_ctle_frz_a</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_rf_frz_a" type="int">
-          <ipxact:name>l_rf_frz_a</ipxact:name>
-          <ipxact:displayName>l_rf_frz_a</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_val_b" type="int">
-          <ipxact:name>ctle_lf_val_b</ipxact:name>
-          <ipxact:displayName>GAINLF</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_val_ada_b" type="string">
-          <ipxact:name>ctle_lf_val_ada_b</ipxact:name>
-          <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_min_b" type="int">
-          <ipxact:name>ctle_lf_min_b</ipxact:name>
-          <ipxact:displayName>CTLE LF Min</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_max_b" type="int">
-          <ipxact:name>ctle_lf_max_b</ipxact:name>
-          <ipxact:displayName>CTLE LF Max</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_val_b" type="int">
-          <ipxact:name>ctle_hf_val_b</ipxact:name>
-          <ipxact:displayName>GAINHF</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_val_ada_b" type="string">
-          <ipxact:name>ctle_hf_val_ada_b</ipxact:name>
-          <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_min_b" type="int">
-          <ipxact:name>ctle_hf_min_b</ipxact:name>
-          <ipxact:displayName>CTLE HF Min</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_max_b" type="int">
-          <ipxact:name>ctle_hf_max_b</ipxact:name>
-          <ipxact:displayName>CTLE HF Max</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_val_b" type="int">
-          <ipxact:name>rf_p2_val_b</ipxact:name>
-          <ipxact:displayName>RF_P2</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_val_ada_b" type="string">
-          <ipxact:name>rf_p2_val_ada_b</ipxact:name>
-          <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_min_b" type="int">
-          <ipxact:name>rf_p2_min_b</ipxact:name>
-          <ipxact:displayName>RF_P2_MIN</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_max_b" type="int">
-          <ipxact:name>rf_p2_max_b</ipxact:name>
-          <ipxact:displayName>RF_P2_MAX</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_val_b" type="int">
-          <ipxact:name>rf_p1_val_b</ipxact:name>
-          <ipxact:displayName>RF_P1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_val_ada_b" type="string">
-          <ipxact:name>rf_p1_val_ada_b</ipxact:name>
-          <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_min_b" type="int">
-          <ipxact:name>rf_p1_min_b</ipxact:name>
-          <ipxact:displayName>RF_P1_MIN</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_max_b" type="int">
-          <ipxact:name>rf_p1_max_b</ipxact:name>
-          <ipxact:displayName>RF_P1_MAX</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_reserved0_b" type="int">
-          <ipxact:name>rf_reserved0_b</ipxact:name>
-          <ipxact:displayName>Reserved 0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p0_val_b" type="int">
-          <ipxact:name>rf_p0_val_b</ipxact:name>
-          <ipxact:displayName>RF_P0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p0_val_ada_b" type="string">
-          <ipxact:name>rf_p0_val_ada_b</ipxact:name>
-          <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_reserved1_b" type="int">
-          <ipxact:name>rf_reserved1_b</ipxact:name>
-          <ipxact:displayName>Reserved 1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0t_b" type="int">
-          <ipxact:name>rf_b0t_b</ipxact:name>
-          <ipxact:displayName>RF_B0T</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_gs1_val_b" type="int">
-          <ipxact:name>ctle_gs1_val_b</ipxact:name>
-          <ipxact:displayName>GS1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_gs2_val_b" type="int">
-          <ipxact:name>ctle_gs2_val_b</ipxact:name>
-          <ipxact:displayName>GS2</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b1_b" type="int">
-          <ipxact:name>rf_b1_b</ipxact:name>
-          <ipxact:displayName>RF_B1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b1_ada_b" type="string">
-          <ipxact:name>rf_b1_ada_b</ipxact:name>
-          <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0_b" type="int">
-          <ipxact:name>rf_b0_b</ipxact:name>
-          <ipxact:displayName>RF_B0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0_ada_b" type="string">
-          <ipxact:name>rf_b0_ada_b</ipxact:name>
-          <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_a_b" type="int">
-          <ipxact:name>rf_a_b</ipxact:name>
-          <ipxact:displayName>RF_A</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_ctle_frz_b" type="int">
-          <ipxact:name>l_ctle_frz_b</ipxact:name>
-          <ipxact:displayName>l_ctle_frz_b</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_rf_frz_b" type="int">
-          <ipxact:name>l_rf_frz_b</ipxact:name>
-          <ipxact:displayName>l_rf_frz_b</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_multi_enable" type="int">
-          <ipxact:name>adpt_multi_enable</ipxact:name>
-          <ipxact:displayName>Enable multiple PMA configuration</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_cnt" type="int">
-          <ipxact:name>adpt_recipe_cnt</ipxact:name>
-          <ipxact:displayName>Number of PMA configuration</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_select" type="int">
-          <ipxact:name>adpt_recipe_select</ipxact:name>
-          <ipxact:displayName>Select a PMA configuration to load or store</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data0" type="string">
-          <ipxact:name>adpt_recipe_data0</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data0</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data1" type="string">
-          <ipxact:name>adpt_recipe_data1</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data1</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data2" type="string">
-          <ipxact:name>adpt_recipe_data2</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data2</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data3" type="string">
-          <ipxact:name>adpt_recipe_data3</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data3</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data4" type="string">
-          <ipxact:name>adpt_recipe_data4</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data4</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data5" type="string">
-          <ipxact:name>adpt_recipe_data5</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data5</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data6" type="string">
-          <ipxact:name>adpt_recipe_data6</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data6</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data7" type="string">
-          <ipxact:name>adpt_recipe_data7</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data7</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_params" type="string">
-          <ipxact:name>adpt_params</ipxact:name>
-          <ipxact:displayName>adpt_params</ipxact:displayName>
-          <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a,ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_params_a" type="string">
-          <ipxact:name>adpt_params_a</ipxact:name>
-          <ipxact:displayName>adpt_params_a</ipxact:displayName>
-          <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_params_b" type="string">
-          <ipxact:name>adpt_params_b</ipxact:name>
-          <ipxact:displayName>adpt_params_b</ipxact:displayName>
-          <ipxact:value>ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_labels_a" type="string">
-          <ipxact:name>adpt_param_labels_a</ipxact:name>
-          <ipxact:displayName>Init. Parameters</ipxact:displayName>
-          <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_labels_b" type="string">
-          <ipxact:name>adpt_param_labels_b</ipxact:name>
-          <ipxact:displayName>Cont. Parameters</ipxact:displayName>
-          <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals0" type="string">
-          <ipxact:name>adpt_param_vals0</ipxact:name>
-          <ipxact:displayName>adpt_param_vals0</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals1" type="string">
-          <ipxact:name>adpt_param_vals1</ipxact:name>
-          <ipxact:displayName>adpt_param_vals1</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals2" type="string">
-          <ipxact:name>adpt_param_vals2</ipxact:name>
-          <ipxact:displayName>adpt_param_vals2</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals3" type="string">
-          <ipxact:name>adpt_param_vals3</ipxact:name>
-          <ipxact:displayName>adpt_param_vals3</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals4" type="string">
-          <ipxact:name>adpt_param_vals4</ipxact:name>
-          <ipxact:displayName>adpt_param_vals4</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals5" type="string">
-          <ipxact:name>adpt_param_vals5</ipxact:name>
-          <ipxact:displayName>adpt_param_vals5</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals6" type="string">
-          <ipxact:name>adpt_param_vals6</ipxact:name>
-          <ipxact:displayName>adpt_param_vals6</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals7" type="string">
-          <ipxact:name>adpt_param_vals7</ipxact:name>
-          <ipxact:displayName>adpt_param_vals7</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals0_a" type="string">
-          <ipxact:name>adpt_param_vals0_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 0</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals1_a" type="string">
-          <ipxact:name>adpt_param_vals1_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 1</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals2_a" type="string">
-          <ipxact:name>adpt_param_vals2_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 2</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals3_a" type="string">
-          <ipxact:name>adpt_param_vals3_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 3</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals4_a" type="string">
-          <ipxact:name>adpt_param_vals4_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 4</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals5_a" type="string">
-          <ipxact:name>adpt_param_vals5_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 5</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals6_a" type="string">
-          <ipxact:name>adpt_param_vals6_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 6</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals7_a" type="string">
-          <ipxact:name>adpt_param_vals7_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 7</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals0_b" type="string">
-          <ipxact:name>adpt_param_vals0_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 0</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals1_b" type="string">
-          <ipxact:name>adpt_param_vals1_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 1</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals2_b" type="string">
-          <ipxact:name>adpt_param_vals2_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 2</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals3_b" type="string">
-          <ipxact:name>adpt_param_vals3_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 3</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals4_b" type="string">
-          <ipxact:name>adpt_param_vals4_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 4</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals5_b" type="string">
-          <ipxact:name>adpt_param_vals5_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 5</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals6_b" type="string">
-          <ipxact:name>adpt_param_vals6_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 6</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals7_b" type="string">
-          <ipxact:name>adpt_param_vals7_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 7</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_DEVICE" type="string">
-          <ipxact:name>AUTO_DEVICE</ipxact:name>
-          <ipxact:displayName>Auto DEVICE</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>wrapper_opt</spirit:name>
+          <spirit:displayName>Jesd204b wrapper</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="wrapper_opt">base_phy</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>sdc_constraint</spirit:name>
+          <spirit:displayName>Set constraint for sdc</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="sdc_constraint">1.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DEVICE_FAMILY</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="DEVICE_FAMILY">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>part_trait_dp</spirit:name>
+          <spirit:displayName>Device Part</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="part_trait_dp">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DATA_PATH</spirit:name>
+          <spirit:displayName>Data path</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="DATA_PATH">RX</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SUBCLASSV</spirit:name>
+          <spirit:displayName>Jesd204b subclass</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="SUBCLASSV">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lane_rate</spirit:name>
+          <spirit:displayName>Data rate</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="lane_rate">4000.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PCS_CONFIG</spirit:name>
+          <spirit:displayName>PCS Option</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="PCS_CONFIG">JESD_PCS_CFG1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_type</spirit:name>
+          <spirit:displayName>PLL Type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_type">CMU</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonded_mode</spirit:name>
+          <spirit:displayName>Bonding Mode </spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonded_mode">bonded</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>REFCLK_FREQ</spirit:name>
+          <spirit:displayName>PLL/CDR Reference Clock Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="REFCLK_FREQ">200.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_analog_voltage</spirit:name>
+          <spirit:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_analog_voltage">1_0V</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitrev_en</spirit:name>
+          <spirit:displayName>Enable Bit reversal and Byte reversal</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="bitrev_en">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_reconfig_enable</spirit:name>
+          <spirit:displayName>Enable Transceiver Dynamic Reconfiguration</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_reconfig_enable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>rcfg_jtag_enable</spirit:name>
+          <spirit:displayName>Enable Altera Debug Master Endpoint</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="rcfg_jtag_enable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>rcfg_shared</spirit:name>
+          <spirit:displayName>Share Reconfiguration Interface</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="rcfg_shared">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>rcfg_enable_split_interface</spirit:name>
+          <spirit:displayName>Provide Separate Reconfiguration Interface for Each Channel</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="rcfg_enable_split_interface">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>set_capability_reg_enable</spirit:name>
+          <spirit:displayName>Enable Capability Registers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="set_capability_reg_enable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>set_user_identifier</spirit:name>
+          <spirit:displayName>Set user-defined IP identifier</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="set_user_identifier">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>set_csr_soft_logic_enable</spirit:name>
+          <spirit:displayName>Enable Control and Status Registers</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="set_csr_soft_logic_enable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>set_prbs_soft_logic_enable</spirit:name>
+          <spirit:displayName>Enable PRBS Soft Accumulators</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="set_prbs_soft_logic_enable">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>L</spirit:name>
+          <spirit:displayName>Lanes per converter device (L)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="L">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>M</spirit:name>
+          <spirit:displayName>Converters per device (M)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="M">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>GUI_EN_CFG_F</spirit:name>
+          <spirit:displayName>Enable manual F configuration</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="GUI_EN_CFG_F">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>GUI_CFG_F</spirit:name>
+          <spirit:displayName>Octets per frame (F)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="GUI_CFG_F">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>F</spirit:name>
+          <spirit:displayName>Octets per frame (F)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="F">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>N</spirit:name>
+          <spirit:displayName>Converter resolution (N)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="N">14</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>N_PRIME</spirit:name>
+          <spirit:displayName>Transmitted bits per sample (N')</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="N_PRIME">16</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>S</spirit:name>
+          <spirit:displayName>Samples per converter per frame (S)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="S">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>K</spirit:name>
+          <spirit:displayName>Frames per multiframe (K)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="K">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SCR</spirit:name>
+          <spirit:displayName>Enable scramble (SCR)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="SCR">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CS</spirit:name>
+          <spirit:displayName>Control Bits (CS)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="CS">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CF</spirit:name>
+          <spirit:displayName>Control Words (CF)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="CF">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HD</spirit:name>
+          <spirit:displayName>High Density user data format (HD)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="HD">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ECC_EN</spirit:name>
+          <spirit:displayName>Enable Error Code Correction (ECC_EN)</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="ECC_EN">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DLB_TEST</spirit:name>
+          <spirit:displayName>Enable Digital Loop Back Test (DLB_TEST)</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="DLB_TEST">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHADJ</spirit:name>
+          <spirit:displayName>Phase adjustment request (PHADJ)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="PHADJ">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ADJCNT</spirit:name>
+          <spirit:displayName>Adjustment resolution step count (ADJCNT)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ADJCNT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ADJDIR</spirit:name>
+          <spirit:displayName>Direction of adjustment (ADJDIR)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ADJDIR">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>OPTIMIZE</spirit:name>
+          <spirit:displayName>CSR Programmability</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="OPTIMIZE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DID</spirit:name>
+          <spirit:displayName>Device ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DID">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BID</spirit:name>
+          <spirit:displayName>Bank ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="BID">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LID0</spirit:name>
+          <spirit:displayName>Lane0 ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LID0">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FCHK0</spirit:name>
+          <spirit:displayName>Lane0 checksum</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="FCHK0">47</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LID1</spirit:name>
+          <spirit:displayName>Lane1 ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LID1">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FCHK1</spirit:name>
+          <spirit:displayName>Lane1 checksum</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="FCHK1">48</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LID2</spirit:name>
+          <spirit:displayName>Lane2 ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LID2">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FCHK2</spirit:name>
+          <spirit:displayName>Lane2 checksum</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="FCHK2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LID3</spirit:name>
+          <spirit:displayName>Lane3 ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LID3">3</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FCHK3</spirit:name>
+          <spirit:displayName>Lane3 checksum</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="FCHK3">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LID4</spirit:name>
+          <spirit:displayName>Lane4 ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LID4">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FCHK4</spirit:name>
+          <spirit:displayName>Lane4 checksum</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="FCHK4">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LID5</spirit:name>
+          <spirit:displayName>Lane5 ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LID5">5</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FCHK5</spirit:name>
+          <spirit:displayName>Lane5 checksum</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="FCHK5">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LID6</spirit:name>
+          <spirit:displayName>Lane6 ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LID6">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FCHK6</spirit:name>
+          <spirit:displayName>Lane6 checksum</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="FCHK6">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LID7</spirit:name>
+          <spirit:displayName>Lane7 ID</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LID7">7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FCHK7</spirit:name>
+          <spirit:displayName>Lane7 checksum</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="FCHK7">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>d_refclk_freq</spirit:name>
+          <spirit:displayName>PLL/CDR Reference Clock Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="d_refclk_freq">200.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>JESDV</spirit:name>
+          <spirit:displayName>JESDV</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="JESDV">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PMA_WIDTH</spirit:name>
+          <spirit:displayName>PMA_WIDTH</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="PMA_WIDTH">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SER_SIZE</spirit:name>
+          <spirit:displayName>SER_SIZE</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="SER_SIZE">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FK</spirit:name>
+          <spirit:displayName>FK</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="FK">64</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RES1</spirit:name>
+          <spirit:displayName>RES1</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="RES1">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RES2</spirit:name>
+          <spirit:displayName>RES2</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="RES2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BIT_REVERSAL</spirit:name>
+          <spirit:displayName>BIT_REVERSAL</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="BIT_REVERSAL">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BYTE_REVERSAL</spirit:name>
+          <spirit:displayName>BYTE_REVERSAL</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="BYTE_REVERSAL">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ALIGNMENT_PATTERN</spirit:name>
+          <spirit:displayName>ALIGNMENT_PATTERN</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ALIGNMENT_PATTERN">658812</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PULSE_WIDTH</spirit:name>
+          <spirit:displayName>PULSE_WIDTH</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="PULSE_WIDTH">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LS_FIFO_DEPTH</spirit:name>
+          <spirit:displayName>LS_FIFO_DEPTH</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LS_FIFO_DEPTH">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LS_FIFO_WIDTHU</spirit:name>
+          <spirit:displayName>LS_FIFO_WIDTHU</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="LS_FIFO_WIDTHU">5</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>UNUSED_TX_PARALLEL_WIDTH</spirit:name>
+          <spirit:displayName>UNUSED_TX_PARALLEL_WIDTH</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="UNUSED_TX_PARALLEL_WIDTH">92</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>UNUSED_RX_PARALLEL_WIDTH</spirit:name>
+          <spirit:displayName>UNUSED_RX_PARALLEL_WIDTH</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="UNUSED_RX_PARALLEL_WIDTH">72</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>XCVR_PLL_LOCKED_WIDTH</spirit:name>
+          <spirit:displayName>XCVR_PLL_LOCKED_WIDTH</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="XCVR_PLL_LOCKED_WIDTH">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RECONFIG_ADDRESS_WIDTH</spirit:name>
+          <spirit:displayName>RECONFIG_ADDRESS_WIDTH</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="RECONFIG_ADDRESS_WIDTH">10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DEPTH_PIPE</spirit:name>
+          <spirit:displayName>Pipeline stages for link_clk domain reset signal</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DEPTH_PIPE">3</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>xcvr_ip</spirit:name>
+          <spirit:displayName>xcvr_ip</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="xcvr_ip">ltile</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>die_types</spirit:name>
+          <spirit:displayName>die_types</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="die_types"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>die_revisions</spirit:name>
+          <spirit:displayName>die_revisions</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="die_revisions"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>support_c1</spirit:name>
+          <spirit:displayName>support_c1</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="support_c1">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>support_c2</spirit:name>
+          <spirit:displayName>support_c2</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="support_c2">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>support_c3</spirit:name>
+          <spirit:displayName>support_c3</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="support_c3">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>crete_tile_status</spirit:name>
+          <spirit:displayName>Transceiver Tile</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="crete_tile_status">ltile</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_user_crete_tile</spirit:name>
+          <spirit:displayName>Transceiver Tile</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_user_crete_tile">etile</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>TEST_COMPONENTS_EN</spirit:name>
+          <spirit:displayName>Add Test Components</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="TEST_COMPONENTS_EN">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>TERMINATE_RECONFIG_EN</spirit:name>
+          <spirit:displayName>Terminate Reconfig Signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="TERMINATE_RECONFIG_EN">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ED_TYPE</spirit:name>
+          <spirit:displayName>Select Design</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ED_TYPE">NONE</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ED_FILESET_SIM</spirit:name>
+          <spirit:displayName>Simulation</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="ED_FILESET_SIM">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ED_FILESET_SYNTH</spirit:name>
+          <spirit:displayName>Synthesis</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="ED_FILESET_SYNTH">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ED_HDL_FORMAT_SIM</spirit:name>
+          <spirit:displayName>HDL Format</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ED_HDL_FORMAT_SIM">VERILOG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ED_SIM_PAT_TESTMODE</spirit:name>
+          <spirit:displayName>Test pattern</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ED_SIM_PAT_TESTMODE">PRBS_7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ED_HDL_FORMAT_SYNTH</spirit:name>
+          <spirit:displayName>HDL Format</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ED_HDL_FORMAT_SYNTH">VERILOG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ED_DEV_KIT</spirit:name>
+          <spirit:displayName>Select Board</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ED_DEV_KIT">NONE</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>GUI_ED_DEV_KIT</spirit:name>
+          <spirit:displayName>Select Board</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="GUI_ED_DEV_KIT">None</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ED_SINGLE_REFCLK</spirit:name>
+          <spirit:displayName>Single reference clock (Advanced users only. Not recommended.)</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="ED_SINGLE_REFCLK">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ED_3WIRE_SPI</spirit:name>
+          <spirit:displayName>Generate 3-wire SPI module</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="ED_3WIRE_SPI">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SELECT_CUSTOM_DEVICE</spirit:name>
+          <spirit:displayName>Change Target Device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="SELECT_CUSTOM_DEVICE">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_DEVICE</spirit:name>
+          <spirit:displayName>Auto DEVICE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AUTO_DEVICE_SPEEDGRADE</spirit:name>
+          <spirit:displayName>Auto DEVICE_SPEEDGRADE</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE_SPEEDGRADE">1</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
    element jesd204_0
    {
       datum _sortIndex
@@ -3842,1708 +3046,69 @@
       }
    }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rxlink_clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rxlink_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rxlink_rst_n&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rxlink_rst_n_reset_n&lt;/name&gt;
-                    &lt;role&gt;reset_n&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;rxlink_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_avs_clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_avs_rst_n&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_rst_n&lt;/name&gt;
-                    &lt;role&gt;reset_n&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_avs&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_chipselect&lt;/name&gt;
-                    &lt;role&gt;chipselect&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_waitrequest&lt;/name&gt;
-                    &lt;role&gt;waitrequest&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;1024&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_rst_n&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_link&lt;/name&gt;
-            &lt;type&gt;avalon_streaming&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_link_data&lt;/name&gt;
-                    &lt;role&gt;data&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_link_valid&lt;/name&gt;
-                    &lt;role&gt;valid&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_link_ready&lt;/name&gt;
-                    &lt;role&gt;ready&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;rxlink_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;rxlink_rst_n&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;beatsPerCycle&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;dataBitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;emptyWithinPacket&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;errorDescriptor&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;firstSymbolInHighOrderBits&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;highOrderSymbolAtMSB&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maxChannel&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;packetDescription&lt;/key&gt;
-                        &lt;value&gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readyAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readyLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;symbolsPerBeat&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;sof&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;sof&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;somf&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;somf&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;alldev_lane_aligned&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;alldev_lane_aligned&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;dev_lane_aligned&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;dev_lane_aligned&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;dev_sync_n&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;dev_sync_n&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;sysref&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;sysref&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_int&lt;/name&gt;
-            &lt;type&gt;interrupt&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_int&lt;/name&gt;
-                    &lt;role&gt;irq&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedAddressablePoint&lt;/key&gt;
-                        &lt;value&gt;ip_arria10_e1sg_jesd204b_rx.jesd204_rx_avs&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_rst_n&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedReceiverOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToReceiver&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;irqScheme&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_rx_testmode&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_rx_testmode&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_f&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_f&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_k&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_k&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_l&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_l&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_m&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_m&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_n&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_n&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_s&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_s&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_cf&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_cf&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_cs&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_cs&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;2&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_hd&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_hd&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_np&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_np&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_lane_powerdown&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_lane_powerdown&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_frame_error&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_frame_error&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_data&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_data&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_data_valid&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_data_valid&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_kchar_data&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_kchar_data&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_errdetect&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_errdetect&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_disperr&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_disperr&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;pll_ref_clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;pll_ref_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rxphy_clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rxphy_clk&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_islockedtodata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_islockedtodata&lt;/name&gt;
-                    &lt;role&gt;rx_is_lockedtodata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_cal_busy&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_cal_busy&lt;/name&gt;
-                    &lt;role&gt;rx_cal_busy&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_analogreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_analogreset&lt;/name&gt;
-                    &lt;role&gt;rx_analogreset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_digitalreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_digitalreset&lt;/name&gt;
-                    &lt;role&gt;rx_digitalreset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_serial_data&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_serial_data&lt;/name&gt;
-                    &lt;role&gt;rx_serial_data&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;jesd204_rx_avs&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;jesd204_rx_avs&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;10&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>jesd204_rx_avs</key>
+            <value>
+                <connectionPointName>jesd204_rx_avs</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>10</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>jesd204_tx_avs</key>
+            <value>
+                <connectionPointName>jesd204_tx_avs</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='jesd204_tx_avs' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>10</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
       <altera:interface_mapping altera:name="alldev_lane_aligned" altera:internal="jesd204_0.alldev_lane_aligned" altera:type="conduit" altera:dir="end">
@@ -5728,5 +3293,5 @@
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz.qsys b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz.qsys
deleted file mode 100644
index c4ed31506907439a2e3c34051e354636b59c4eca..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz.qsys
+++ /dev/null
@@ -1,3633 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<system name="ip_arria10_e1sg_jesd204b_rx_200MHz">
- <component
-   name="$${FILENAME}"
-   displayName="$${FILENAME}"
-   version="1.0"
-   description=""
-   tags=""
-   categories="System"
-   tool="QsysPro" />
- <parameter name="bonusData"><![CDATA[bonusData 
-{
-   element jesd204_0
-   {
-      datum _sortIndex
-      {
-         value = "0";
-         type = "int";
-      }
-   }
-}
-]]></parameter>
- <parameter name="device" value="10AX115U2F45E1SG" />
- <parameter name="deviceFamily" value="Arria 10" />
- <parameter name="deviceSpeedGrade" value="1" />
- <parameter name="fabricMode" value="QSYS" />
- <parameter name="generateLegacySim" value="false" />
- <parameter name="generationId" value="0" />
- <parameter name="globalResetBus" value="false" />
- <parameter name="hdlLanguage" value="VERILOG" />
- <parameter name="hideFromIPCatalog" value="false" />
- <parameter name="lockedInterfaceDefinition" value="" />
- <parameter name="sopcBorderPoints" value="false" />
- <parameter name="systemHash" value="0" />
- <parameter name="systemInfos"><![CDATA[<systemInfosDefinition>
-    <connPtSystemInfos>
-        <entry>
-            <key>jesd204_rx_avs</key>
-            <value>
-                <connectionPointName>jesd204_rx_avs</connectionPointName>
-                <suppliedSystemInfos/>
-                <consumedSystemInfos>
-                    <entry>
-                        <key>ADDRESS_MAP</key>
-                        <value>&lt;address-map&gt;&lt;slave name='jesd204_0.jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value>
-                    </entry>
-                    <entry>
-                        <key>ADDRESS_WIDTH</key>
-                        <value>10</value>
-                    </entry>
-                    <entry>
-                        <key>MAX_SLAVE_DATA_WIDTH</key>
-                        <value>32</value>
-                    </entry>
-                </consumedSystemInfos>
-            </value>
-        </entry>
-    </connPtSystemInfos>
-</systemInfosDefinition>]]></parameter>
- <parameter name="systemScripts" value="" />
- <parameter name="testBenchDutName" value="" />
- <parameter name="timeStamp" value="0" />
- <parameter name="useTestBenchNamingPattern" value="false" />
- <instanceScript></instanceScript>
- <interface name="csr_cf" internal="jesd204_0.csr_cf" type="conduit" dir="end" />
- <interface name="csr_cs" internal="jesd204_0.csr_cs" type="conduit" dir="end" />
- <interface name="csr_f" internal="jesd204_0.csr_f" type="conduit" dir="end" />
- <interface name="csr_hd" internal="jesd204_0.csr_hd" type="conduit" dir="end" />
- <interface name="csr_k" internal="jesd204_0.csr_k" type="conduit" dir="end" />
- <interface name="csr_l" internal="jesd204_0.csr_l" type="conduit" dir="end" />
- <interface
-   name="csr_lane_powerdown"
-   internal="jesd204_0.csr_lane_powerdown"
-   type="conduit"
-   dir="end" />
- <interface name="csr_m" internal="jesd204_0.csr_m" type="conduit" dir="end" />
- <interface name="csr_n" internal="jesd204_0.csr_n" type="conduit" dir="end" />
- <interface name="csr_np" internal="jesd204_0.csr_np" type="conduit" dir="end" />
- <interface
-   name="csr_rx_testmode"
-   internal="jesd204_0.csr_rx_testmode"
-   type="conduit"
-   dir="end" />
- <interface name="csr_s" internal="jesd204_0.csr_s" type="conduit" dir="end" />
- <interface
-   name="dev_lane_aligned"
-   internal="jesd204_0.dev_lane_aligned"
-   type="conduit"
-   dir="end" />
- <interface
-   name="dev_sync_n"
-   internal="jesd204_0.dev_sync_n"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_0_alldev_lane_aligned"
-   internal="jesd204_0.alldev_lane_aligned"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_avs"
-   internal="jesd204_0.jesd204_rx_avs"
-   type="avalon"
-   dir="end" />
- <interface
-   name="jesd204_rx_avs_clk"
-   internal="jesd204_0.jesd204_rx_avs_clk"
-   type="clock"
-   dir="end" />
- <interface
-   name="jesd204_rx_avs_rst_n"
-   internal="jesd204_0.jesd204_rx_avs_rst_n"
-   type="reset"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_data"
-   internal="jesd204_0.jesd204_rx_dlb_data"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_data_valid"
-   internal="jesd204_0.jesd204_rx_dlb_data_valid"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_disperr"
-   internal="jesd204_0.jesd204_rx_dlb_disperr"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_errdetect"
-   internal="jesd204_0.jesd204_rx_dlb_errdetect"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_kchar_data"
-   internal="jesd204_0.jesd204_rx_dlb_kchar_data"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_frame_error"
-   internal="jesd204_0.jesd204_rx_frame_error"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_int"
-   internal="jesd204_0.jesd204_rx_int"
-   type="interrupt"
-   dir="end" />
- <interface
-   name="jesd204_rx_link"
-   internal="jesd204_0.jesd204_rx_link"
-   type="avalon_streaming"
-   dir="start" />
- <interface
-   name="pll_ref_clk"
-   internal="jesd204_0.pll_ref_clk"
-   type="clock"
-   dir="end" />
- <interface
-   name="rx_analogreset"
-   internal="jesd204_0.rx_analogreset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_cal_busy"
-   internal="jesd204_0.rx_cal_busy"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_digitalreset"
-   internal="jesd204_0.rx_digitalreset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_islockedtodata"
-   internal="jesd204_0.rx_islockedtodata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_serial_data"
-   internal="jesd204_0.rx_serial_data"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rxlink_clk"
-   internal="jesd204_0.rxlink_clk"
-   type="clock"
-   dir="end" />
- <interface
-   name="rxlink_rst_n"
-   internal="jesd204_0.rxlink_rst_n"
-   type="reset"
-   dir="end" />
- <interface
-   name="rxphy_clk"
-   internal="jesd204_0.rxphy_clk"
-   type="conduit"
-   dir="end" />
- <interface name="sof" internal="jesd204_0.sof" type="conduit" dir="end" />
- <interface name="somf" internal="jesd204_0.somf" type="conduit" dir="end" />
- <interface name="sysref" internal="jesd204_0.sysref" type="conduit" dir="end" />
- <module
-   name="jesd204_0"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
-  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>alldev_lane_aligned</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>alldev_lane_aligned</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_cf</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_cf</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_cs</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_cs</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>2</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_f</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_f</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>8</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_hd</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_hd</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_k</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_k</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_l</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_l</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_lane_powerdown</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_lane_powerdown</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_m</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_m</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>8</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_n</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_n</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_np</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_np</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_rx_testmode</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_rx_testmode</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_s</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_s</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>dev_lane_aligned</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>dev_lane_aligned</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>dev_sync_n</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>dev_sync_n</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_avs</name>
-                <type>avalon</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_avs_chipselect</name>
-                        <role>chipselect</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_address</name>
-                        <role>address</role>
-                        <direction>Input</direction>
-                        <width>8</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_read</name>
-                        <role>read</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_readdata</name>
-                        <role>readdata</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_waitrequest</name>
-                        <role>waitrequest</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_write</name>
-                        <role>write</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_writedata</name>
-                        <role>writedata</role>
-                        <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>embeddedsw.configuration.isFlash</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isMemoryDevice</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isPrintableDevice</key>
-                            <value>0</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>addressAlignment</key>
-                            <value>DYNAMIC</value>
-                        </entry>
-                        <entry>
-                            <key>addressGroup</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>addressSpan</key>
-                            <value>1024</value>
-                        </entry>
-                        <entry>
-                            <key>addressUnits</key>
-                            <value>WORDS</value>
-                        </entry>
-                        <entry>
-                            <key>alwaysBurstMaxBurst</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>jesd204_rx_avs_clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>jesd204_rx_avs_rst_n</value>
-                        </entry>
-                        <entry>
-                            <key>bitsPerSymbol</key>
-                            <value>8</value>
-                        </entry>
-                        <entry>
-                            <key>bridgedAddressOffset</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>bridgesToMaster</key>
-                        </entry>
-                        <entry>
-                            <key>burstOnBurstBoundariesOnly</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>burstcountUnits</key>
-                            <value>WORDS</value>
-                        </entry>
-                        <entry>
-                            <key>constantBurstBehavior</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>explicitAddressSpan</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>holdTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>interleaveBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isBigEndian</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isFlash</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isMemoryDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isNonVolatileStorage</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>linewrapBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingReadTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingWriteTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>minimumReadLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumResponseLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumUninterruptedRunLength</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>printableDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>readLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitStates</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitTime</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>registerIncomingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>registerOutgoingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>setupTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>timingUnits</key>
-                            <value>Cycles</value>
-                        </entry>
-                        <entry>
-                            <key>transparentBridge</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>waitrequestAllowance</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>wellBehavedWaitrequest</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>writeLatency</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitStates</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitTime</key>
-                            <value>0</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_avs_clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_avs_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_avs_rst_n</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_avs_rst_n</name>
-                        <role>reset_n</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>jesd204_rx_avs_clk</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_data</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_data</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_data_valid</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_data_valid</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_disperr</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_disperr</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_errdetect</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_errdetect</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_kchar_data</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_kchar_data</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_frame_error</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_frame_error</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_int</name>
-                <type>interrupt</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_int</name>
-                        <role>irq</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedAddressablePoint</key>
-                            <value>jesd204_0.jesd204_rx_avs</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>jesd204_rx_avs_clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>jesd204_rx_avs_rst_n</value>
-                        </entry>
-                        <entry>
-                            <key>bridgedReceiverOffset</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>bridgesToReceiver</key>
-                        </entry>
-                        <entry>
-                            <key>irqScheme</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_link</name>
-                <type>avalon_streaming</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_link_data</name>
-                        <role>data</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_link_valid</name>
-                        <role>valid</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_link_ready</name>
-                        <role>ready</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>rxlink_clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>rxlink_rst_n</value>
-                        </entry>
-                        <entry>
-                            <key>beatsPerCycle</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>dataBitsPerSymbol</key>
-                            <value>32</value>
-                        </entry>
-                        <entry>
-                            <key>emptyWithinPacket</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>errorDescriptor</key>
-                        </entry>
-                        <entry>
-                            <key>firstSymbolInHighOrderBits</key>
-                            <value>true</value>
-                        </entry>
-                        <entry>
-                            <key>highOrderSymbolAtMSB</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>maxChannel</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>packetDescription</key>
-                            <value></value>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>readyAllowance</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>readyLatency</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>symbolsPerBeat</key>
-                            <value>1</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>pll_ref_clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>pll_ref_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_analogreset</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_analogreset</name>
-                        <role>rx_analogreset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_cal_busy</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_cal_busy</name>
-                        <role>rx_cal_busy</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_digitalreset</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_digitalreset</name>
-                        <role>rx_digitalreset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_islockedtodata</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_islockedtodata</name>
-                        <role>rx_is_lockedtodata</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_serial_data</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_serial_data</name>
-                        <role>rx_serial_data</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rxlink_clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rxlink_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rxlink_rst_n</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rxlink_rst_n_reset_n</name>
-                        <role>reset_n</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>rxlink_clk</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rxphy_clk</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rxphy_clk</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>sof</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>sof</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>somf</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>somf</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>sysref</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>sysref</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_jesd204</className>
-        <version>19.2.0</version>
-        <displayName>JESD204B Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>AUTO_DEVICE</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>DEVICE_FAMILY</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_FAMILY</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>DEVICE_SPEEDGRADE</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>die_revisions</parameterName>
-                <parameterType>[Ljava.lang.String;</parameterType>
-                <systemInfotype>DEVICE_DIE_REVISIONS</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>die_types</parameterName>
-                <parameterType>[Ljava.lang.String;</parameterType>
-                <systemInfotype>DEVICE_DIE_TYPES</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>part_trait_dp</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfoArgs>DEVICE</systemInfoArgs>
-                <systemInfotype>PART_TRAIT</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>jesd204_rx_avs</key>
-                <value>
-                    <connectionPointName>jesd204_rx_avs</connectionPointName>
-                    <suppliedSystemInfos>
-                        <entry>
-                            <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value>
-                        </entry>
-                        <entry>
-                            <key>ADDRESS_WIDTH</key>
-                            <value>10</value>
-                        </entry>
-                        <entry>
-                            <key>MAX_SLAVE_DATA_WIDTH</key>
-                            <value>32</value>
-                        </entry>
-                    </suppliedSystemInfos>
-                    <consumedSystemInfos/>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>rxlink_clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rxlink_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rxlink_rst_n</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rxlink_rst_n_reset_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>rxlink_clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_avs_clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_avs_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_avs_rst_n</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_avs_rst_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>jesd204_rx_avs_clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_avs</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_avs_chipselect</name>
-                    <role>chipselect</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_waitrequest</name>
-                    <role>waitrequest</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>1024</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>jesd204_rx_avs_clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>jesd204_rx_avs_rst_n</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_link</name>
-            <type>avalon_streaming</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_link_data</name>
-                    <role>data</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_link_valid</name>
-                    <role>valid</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_link_ready</name>
-                    <role>ready</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>rxlink_clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>rxlink_rst_n</value>
-                    </entry>
-                    <entry>
-                        <key>beatsPerCycle</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>dataBitsPerSymbol</key>
-                        <value>32</value>
-                    </entry>
-                    <entry>
-                        <key>emptyWithinPacket</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>errorDescriptor</key>
-                    </entry>
-                    <entry>
-                        <key>firstSymbolInHighOrderBits</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>highOrderSymbolAtMSB</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maxChannel</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>packetDescription</key>
-                        <value></value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readyAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readyLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>symbolsPerBeat</key>
-                        <value>1</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>sof</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>sof</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>somf</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>somf</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>alldev_lane_aligned</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>alldev_lane_aligned</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>dev_lane_aligned</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>dev_lane_aligned</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>dev_sync_n</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>dev_sync_n</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>sysref</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>sysref</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_int</name>
-            <type>interrupt</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_int</name>
-                    <role>irq</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedAddressablePoint</key>
-                        <value>jesd204_0.jesd204_rx_avs</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>jesd204_rx_avs_clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>jesd204_rx_avs_rst_n</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedReceiverOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToReceiver</key>
-                    </entry>
-                    <entry>
-                        <key>irqScheme</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_rx_testmode</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_rx_testmode</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_f</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_f</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_k</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_k</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_l</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_l</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_m</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_m</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_n</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_n</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_s</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_s</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_cf</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_cf</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_cs</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_cs</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>2</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_hd</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_hd</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_np</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_np</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_lane_powerdown</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_lane_powerdown</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_frame_error</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_frame_error</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_data</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_data</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_data_valid</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_data_valid</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_kchar_data</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_kchar_data</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_errdetect</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_errdetect</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_disperr</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_disperr</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>pll_ref_clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>pll_ref_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rxphy_clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rxphy_clk</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_islockedtodata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_islockedtodata</name>
-                    <role>rx_is_lockedtodata</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_cal_busy</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_cal_busy</name>
-                    <role>rx_cal_busy</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_analogreset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_analogreset</name>
-                    <role>rx_analogreset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_digitalreset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_digitalreset</name>
-                    <role>rx_digitalreset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_serial_data</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_serial_data</name>
-                    <role>rx_serial_data</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
-  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>ip_arria10_e1sg_jesd204b_rx_200MHz</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_200MHz</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_200MHz</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_200MHz</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></parameter>
-  <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip_arria10_e1sg_jesd204b_rx_200MHz.ip</parameter>
-  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
-    <assignmentValueMap/>
-</assignmentDefinition>]]></parameter>
-  <parameter name="svInterfaceDefinition" value="" />
- </module>
-</system>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz_12ch.ip b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz_12ch.ip
deleted file mode 100644
index 02bb23216d2903b6d91eb451973df594b7f0f8fd..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz_12ch.ip
+++ /dev/null
@@ -1,5732 +0,0 @@
-<?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>Intel Corporation</ipxact:vendor>
-  <ipxact:library>ip_arria10_e1sg_jesd204b_rx</ipxact:library>
-  <ipxact:name>jesd204_0</ipxact:name>
-  <ipxact:version>19.2.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>rxlink_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rxlink_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rxlink_rst_n</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset_n</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rxlink_rst_n_reset_n</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>rxlink_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_avs_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_avs_rst_n</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset_n</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_rst_n</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_avs</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>chipselect</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_chipselect</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>waitrequest</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_waitrequest</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_avs_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>1024</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_rst_n</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_link</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>data</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_link_data</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>valid</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_link_valid</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>ready</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_link_ready</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value>rxlink_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value>rxlink_rst_n</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="beatsPerCycle" type="int">
-          <ipxact:name>beatsPerCycle</ipxact:name>
-          <ipxact:displayName>Beats Per Cycle</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dataBitsPerSymbol" type="int">
-          <ipxact:name>dataBitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Data bits per symbol</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="emptyWithinPacket" type="bit">
-          <ipxact:name>emptyWithinPacket</ipxact:name>
-          <ipxact:displayName>emptyWithinPacket</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="errorDescriptor" type="string">
-          <ipxact:name>errorDescriptor</ipxact:name>
-          <ipxact:displayName>Error descriptor</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit">
-          <ipxact:name>firstSymbolInHighOrderBits</ipxact:name>
-          <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit">
-          <ipxact:name>highOrderSymbolAtMSB</ipxact:name>
-          <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maxChannel" type="int">
-          <ipxact:name>maxChannel</ipxact:name>
-          <ipxact:displayName>Maximum channel</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="packetDescription" type="string">
-          <ipxact:name>packetDescription</ipxact:name>
-          <ipxact:displayName>Packet description </ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readyAllowance" type="int">
-          <ipxact:name>readyAllowance</ipxact:name>
-          <ipxact:displayName>Ready allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readyLatency" type="int">
-          <ipxact:name>readyLatency</ipxact:name>
-          <ipxact:displayName>Ready latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="symbolsPerBeat" type="int">
-          <ipxact:name>symbolsPerBeat</ipxact:name>
-          <ipxact:displayName>Symbols per beat  </ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>sof</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>sof</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>somf</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>somf</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>alldev_lane_aligned</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>alldev_lane_aligned</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>dev_lane_aligned</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>dev_lane_aligned</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>dev_sync_n</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>dev_sync_n</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>sysref</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>sysref</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_int</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>irq</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_int</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedAddressablePoint" type="string">
-          <ipxact:name>associatedAddressablePoint</ipxact:name>
-          <ipxact:displayName>Associated addressable interface</ipxact:displayName>
-          <ipxact:value>ip_arria10_e1sg_jesd204b_rx.jesd204_rx_avs</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>jesd204_rx_avs_rst_n</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedReceiverOffset" type="longint">
-          <ipxact:name>bridgedReceiverOffset</ipxact:name>
-          <ipxact:displayName>Bridged receiver offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToReceiver" type="string">
-          <ipxact:name>bridgesToReceiver</ipxact:name>
-          <ipxact:displayName>Bridges to receiver</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="irqScheme" type="string">
-          <ipxact:name>irqScheme</ipxact:name>
-          <ipxact:displayName>Interrupt scheme</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_rx_testmode</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_rx_testmode</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_f</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_f</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_k</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_k</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_l</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_l</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_m</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_m</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_n</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_n</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_s</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_s</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_cf</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_cf</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_cs</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_cs</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_hd</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_hd</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_np</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_np</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_lane_powerdown</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_lane_powerdown</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_frame_error</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_frame_error</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_data</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_data</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_data_valid</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_data_valid</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_kchar_data</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_kchar_data</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_errdetect</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_errdetect</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>jesd204_rx_dlb_disperr</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>jesd204_rx_dlb_disperr</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>pll_ref_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>pll_ref_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rxphy_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rxphy_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_islockedtodata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_is_lockedtodata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_islockedtodata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_cal_busy</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_cal_busy</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_cal_busy</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_analogreset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_analogreset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_analogreset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_digitalreset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_digitalreset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_digitalreset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_serial_data</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_serial_data</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_serial_data</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>altera_jesd204</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>rxlink_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rxlink_rst_n_reset_n</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_rst_n</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_chipselect</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>7</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_waitrequest</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_avs_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_link_data</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_link_valid</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_link_ready</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>sof</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>somf</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>alldev_lane_aligned</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>dev_lane_aligned</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>dev_sync_n</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>sysref</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_int</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_rx_testmode</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_f</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>7</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_k</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_l</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_m</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>7</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_n</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_s</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_cf</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_cs</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>1</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_hd</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_np</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_lane_powerdown</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_frame_error</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_data</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_data_valid</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_kchar_data</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_errdetect</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>jesd204_rx_dlb_disperr</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>3</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>pll_ref_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rxphy_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_islockedtodata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_cal_busy</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_analogreset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_digitalreset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_serial_data</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
-    <altera:entity_info>
-      <ipxact:vendor>Intel Corporation</ipxact:vendor>
-      <ipxact:library>ip_arria10_e1sg_jesd204b_rx</ipxact:library>
-      <ipxact:name>altera_jesd204</ipxact:name>
-      <ipxact:version>19.2.0</ipxact:version>
-    </altera:entity_info>
-    <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="wrapper_opt" type="string">
-          <ipxact:name>wrapper_opt</ipxact:name>
-          <ipxact:displayName>Jesd204b wrapper</ipxact:displayName>
-          <ipxact:value>base_phy</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="sdc_constraint" type="real">
-          <ipxact:name>sdc_constraint</ipxact:name>
-          <ipxact:displayName>Set constraint for sdc</ipxact:displayName>
-          <ipxact:value>1.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DEVICE_FAMILY" type="string">
-          <ipxact:name>DEVICE_FAMILY</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="part_trait_dp" type="string">
-          <ipxact:name>part_trait_dp</ipxact:name>
-          <ipxact:displayName>Device Part</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DEVICE_SPEEDGRADE" type="string">
-          <ipxact:name>DEVICE_SPEEDGRADE</ipxact:name>
-          <ipxact:displayName>Device Speedgrade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DATA_PATH" type="string">
-          <ipxact:name>DATA_PATH</ipxact:name>
-          <ipxact:displayName>Data path</ipxact:displayName>
-          <ipxact:value>RX</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SUBCLASSV" type="int">
-          <ipxact:name>SUBCLASSV</ipxact:name>
-          <ipxact:displayName>Jesd204b subclass</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lane_rate" type="real">
-          <ipxact:name>lane_rate</ipxact:name>
-          <ipxact:displayName>Data rate</ipxact:displayName>
-          <ipxact:value>4000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="PCS_CONFIG" type="string">
-          <ipxact:name>PCS_CONFIG</ipxact:name>
-          <ipxact:displayName>PCS Option</ipxact:displayName>
-          <ipxact:value>JESD_PCS_CFG1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_type" type="string">
-          <ipxact:name>pll_type</ipxact:name>
-          <ipxact:displayName>PLL Type</ipxact:displayName>
-          <ipxact:value>CMU</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonded_mode" type="string">
-          <ipxact:name>bonded_mode</ipxact:name>
-          <ipxact:displayName>Bonding Mode </ipxact:displayName>
-          <ipxact:value>bonded</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="REFCLK_FREQ" type="real">
-          <ipxact:name>REFCLK_FREQ</ipxact:name>
-          <ipxact:displayName>PLL/CDR Reference Clock Frequency</ipxact:displayName>
-          <ipxact:value>200.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_analog_voltage" type="string">
-          <ipxact:name>gui_analog_voltage</ipxact:name>
-          <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName>
-          <ipxact:value>1_0V</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitrev_en" type="bit">
-          <ipxact:name>bitrev_en</ipxact:name>
-          <ipxact:displayName>Enable Bit reversal and Byte reversal</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_reconfig_enable" type="bit">
-          <ipxact:name>pll_reconfig_enable</ipxact:name>
-          <ipxact:displayName>Enable Transceiver Dynamic Reconfiguration</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rcfg_jtag_enable" type="bit">
-          <ipxact:name>rcfg_jtag_enable</ipxact:name>
-          <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rcfg_shared" type="bit">
-          <ipxact:name>rcfg_shared</ipxact:name>
-          <ipxact:displayName>Share Reconfiguration Interface</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rcfg_enable_split_interface" type="bit">
-          <ipxact:name>rcfg_enable_split_interface</ipxact:name>
-          <ipxact:displayName>Provide Separate Reconfiguration Interface for Each Channel</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="set_capability_reg_enable" type="bit">
-          <ipxact:name>set_capability_reg_enable</ipxact:name>
-          <ipxact:displayName>Enable Capability Registers</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="set_user_identifier" type="int">
-          <ipxact:name>set_user_identifier</ipxact:name>
-          <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="bit">
-          <ipxact:name>set_csr_soft_logic_enable</ipxact:name>
-          <ipxact:displayName>Enable Control and Status Registers</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="bit">
-          <ipxact:name>set_prbs_soft_logic_enable</ipxact:name>
-          <ipxact:displayName>Enable PRBS Soft Accumulators</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="L" type="int">
-          <ipxact:name>L</ipxact:name>
-          <ipxact:displayName>Lanes per converter device (L)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="M" type="int">
-          <ipxact:name>M</ipxact:name>
-          <ipxact:displayName>Converters per device (M)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="GUI_EN_CFG_F" type="bit">
-          <ipxact:name>GUI_EN_CFG_F</ipxact:name>
-          <ipxact:displayName>Enable manual F configuration</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="GUI_CFG_F" type="int">
-          <ipxact:name>GUI_CFG_F</ipxact:name>
-          <ipxact:displayName>Octets per frame (F)</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="F" type="int">
-          <ipxact:name>F</ipxact:name>
-          <ipxact:displayName>Octets per frame (F)</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="N" type="int">
-          <ipxact:name>N</ipxact:name>
-          <ipxact:displayName>Converter resolution (N)</ipxact:displayName>
-          <ipxact:value>14</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="N_PRIME" type="int">
-          <ipxact:name>N_PRIME</ipxact:name>
-          <ipxact:displayName>Transmitted bits per sample (N')</ipxact:displayName>
-          <ipxact:value>16</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="S" type="int">
-          <ipxact:name>S</ipxact:name>
-          <ipxact:displayName>Samples per converter per frame (S)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="K" type="int">
-          <ipxact:name>K</ipxact:name>
-          <ipxact:displayName>Frames per multiframe (K)</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SCR" type="int">
-          <ipxact:name>SCR</ipxact:name>
-          <ipxact:displayName>Enable scramble (SCR)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="CS" type="int">
-          <ipxact:name>CS</ipxact:name>
-          <ipxact:displayName>Control Bits (CS)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="CF" type="int">
-          <ipxact:name>CF</ipxact:name>
-          <ipxact:displayName>Control Words (CF)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="HD" type="int">
-          <ipxact:name>HD</ipxact:name>
-          <ipxact:displayName>High Density user data format (HD)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ECC_EN" type="bit">
-          <ipxact:name>ECC_EN</ipxact:name>
-          <ipxact:displayName>Enable Error Code Correction (ECC_EN)</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DLB_TEST" type="bit">
-          <ipxact:name>DLB_TEST</ipxact:name>
-          <ipxact:displayName>Enable Digital Loop Back Test (DLB_TEST)</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="PHADJ" type="int">
-          <ipxact:name>PHADJ</ipxact:name>
-          <ipxact:displayName>Phase adjustment request (PHADJ)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ADJCNT" type="int">
-          <ipxact:name>ADJCNT</ipxact:name>
-          <ipxact:displayName>Adjustment resolution step count (ADJCNT)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ADJDIR" type="int">
-          <ipxact:name>ADJDIR</ipxact:name>
-          <ipxact:displayName>Direction of adjustment (ADJDIR)</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="OPTIMIZE" type="int">
-          <ipxact:name>OPTIMIZE</ipxact:name>
-          <ipxact:displayName>CSR Programmability</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DID" type="int">
-          <ipxact:name>DID</ipxact:name>
-          <ipxact:displayName>Device ID</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="BID" type="int">
-          <ipxact:name>BID</ipxact:name>
-          <ipxact:displayName>Bank ID</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID0" type="int">
-          <ipxact:name>LID0</ipxact:name>
-          <ipxact:displayName>Lane0 ID</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK0" type="int">
-          <ipxact:name>FCHK0</ipxact:name>
-          <ipxact:displayName>Lane0 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID1" type="int">
-          <ipxact:name>LID1</ipxact:name>
-          <ipxact:displayName>Lane1 ID</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK1" type="int">
-          <ipxact:name>FCHK1</ipxact:name>
-          <ipxact:displayName>Lane1 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID2" type="int">
-          <ipxact:name>LID2</ipxact:name>
-          <ipxact:displayName>Lane2 ID</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK2" type="int">
-          <ipxact:name>FCHK2</ipxact:name>
-          <ipxact:displayName>Lane2 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID3" type="int">
-          <ipxact:name>LID3</ipxact:name>
-          <ipxact:displayName>Lane3 ID</ipxact:displayName>
-          <ipxact:value>3</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK3" type="int">
-          <ipxact:name>FCHK3</ipxact:name>
-          <ipxact:displayName>Lane3 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID4" type="int">
-          <ipxact:name>LID4</ipxact:name>
-          <ipxact:displayName>Lane4 ID</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK4" type="int">
-          <ipxact:name>FCHK4</ipxact:name>
-          <ipxact:displayName>Lane4 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID5" type="int">
-          <ipxact:name>LID5</ipxact:name>
-          <ipxact:displayName>Lane5 ID</ipxact:displayName>
-          <ipxact:value>5</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK5" type="int">
-          <ipxact:name>FCHK5</ipxact:name>
-          <ipxact:displayName>Lane5 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID6" type="int">
-          <ipxact:name>LID6</ipxact:name>
-          <ipxact:displayName>Lane6 ID</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK6" type="int">
-          <ipxact:name>FCHK6</ipxact:name>
-          <ipxact:displayName>Lane6 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LID7" type="int">
-          <ipxact:name>LID7</ipxact:name>
-          <ipxact:displayName>Lane7 ID</ipxact:displayName>
-          <ipxact:value>7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FCHK7" type="int">
-          <ipxact:name>FCHK7</ipxact:name>
-          <ipxact:displayName>Lane7 checksum</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="d_refclk_freq" type="real">
-          <ipxact:name>d_refclk_freq</ipxact:name>
-          <ipxact:displayName>PLL/CDR Reference Clock Frequency</ipxact:displayName>
-          <ipxact:value>200.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="JESDV" type="int">
-          <ipxact:name>JESDV</ipxact:name>
-          <ipxact:displayName>JESDV</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="PMA_WIDTH" type="int">
-          <ipxact:name>PMA_WIDTH</ipxact:name>
-          <ipxact:displayName>PMA_WIDTH</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SER_SIZE" type="int">
-          <ipxact:name>SER_SIZE</ipxact:name>
-          <ipxact:displayName>SER_SIZE</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="FK" type="int">
-          <ipxact:name>FK</ipxact:name>
-          <ipxact:displayName>FK</ipxact:displayName>
-          <ipxact:value>64</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="RES1" type="int">
-          <ipxact:name>RES1</ipxact:name>
-          <ipxact:displayName>RES1</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="RES2" type="int">
-          <ipxact:name>RES2</ipxact:name>
-          <ipxact:displayName>RES2</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="BIT_REVERSAL" type="int">
-          <ipxact:name>BIT_REVERSAL</ipxact:name>
-          <ipxact:displayName>BIT_REVERSAL</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="BYTE_REVERSAL" type="int">
-          <ipxact:name>BYTE_REVERSAL</ipxact:name>
-          <ipxact:displayName>BYTE_REVERSAL</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ALIGNMENT_PATTERN" type="int">
-          <ipxact:name>ALIGNMENT_PATTERN</ipxact:name>
-          <ipxact:displayName>ALIGNMENT_PATTERN</ipxact:displayName>
-          <ipxact:value>658812</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="PULSE_WIDTH" type="int">
-          <ipxact:name>PULSE_WIDTH</ipxact:name>
-          <ipxact:displayName>PULSE_WIDTH</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LS_FIFO_DEPTH" type="int">
-          <ipxact:name>LS_FIFO_DEPTH</ipxact:name>
-          <ipxact:displayName>LS_FIFO_DEPTH</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LS_FIFO_WIDTHU" type="int">
-          <ipxact:name>LS_FIFO_WIDTHU</ipxact:name>
-          <ipxact:displayName>LS_FIFO_WIDTHU</ipxact:displayName>
-          <ipxact:value>5</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="UNUSED_TX_PARALLEL_WIDTH" type="int">
-          <ipxact:name>UNUSED_TX_PARALLEL_WIDTH</ipxact:name>
-          <ipxact:displayName>UNUSED_TX_PARALLEL_WIDTH</ipxact:displayName>
-          <ipxact:value>92</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="UNUSED_RX_PARALLEL_WIDTH" type="int">
-          <ipxact:name>UNUSED_RX_PARALLEL_WIDTH</ipxact:name>
-          <ipxact:displayName>UNUSED_RX_PARALLEL_WIDTH</ipxact:displayName>
-          <ipxact:value>72</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="XCVR_PLL_LOCKED_WIDTH" type="int">
-          <ipxact:name>XCVR_PLL_LOCKED_WIDTH</ipxact:name>
-          <ipxact:displayName>XCVR_PLL_LOCKED_WIDTH</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="RECONFIG_ADDRESS_WIDTH" type="int">
-          <ipxact:name>RECONFIG_ADDRESS_WIDTH</ipxact:name>
-          <ipxact:displayName>RECONFIG_ADDRESS_WIDTH</ipxact:displayName>
-          <ipxact:value>10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DEPTH_PIPE" type="int">
-          <ipxact:name>DEPTH_PIPE</ipxact:name>
-          <ipxact:displayName>Pipeline stages for link_clk domain reset signal</ipxact:displayName>
-          <ipxact:value>3</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="xcvr_ip" type="string">
-          <ipxact:name>xcvr_ip</ipxact:name>
-          <ipxact:displayName>xcvr_ip</ipxact:displayName>
-          <ipxact:value>ltile</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="die_types" type="string">
-          <ipxact:name>die_types</ipxact:name>
-          <ipxact:displayName>die_types</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="die_revisions" type="string">
-          <ipxact:name>die_revisions</ipxact:name>
-          <ipxact:displayName>die_revisions</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="support_c1" type="bit">
-          <ipxact:name>support_c1</ipxact:name>
-          <ipxact:displayName>support_c1</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="support_c2" type="bit">
-          <ipxact:name>support_c2</ipxact:name>
-          <ipxact:displayName>support_c2</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="support_c3" type="bit">
-          <ipxact:name>support_c3</ipxact:name>
-          <ipxact:displayName>support_c3</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="crete_tile_status" type="string">
-          <ipxact:name>crete_tile_status</ipxact:name>
-          <ipxact:displayName>Transceiver Tile</ipxact:displayName>
-          <ipxact:value>ltile</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_user_crete_tile" type="string">
-          <ipxact:name>gui_user_crete_tile</ipxact:name>
-          <ipxact:displayName>Transceiver Tile</ipxact:displayName>
-          <ipxact:value>htile</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="TEST_COMPONENTS_EN" type="bit">
-          <ipxact:name>TEST_COMPONENTS_EN</ipxact:name>
-          <ipxact:displayName>Add Test Components</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="TERMINATE_RECONFIG_EN" type="bit">
-          <ipxact:name>TERMINATE_RECONFIG_EN</ipxact:name>
-          <ipxact:displayName>Terminate Reconfig Signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_TYPE" type="string">
-          <ipxact:name>ED_TYPE</ipxact:name>
-          <ipxact:displayName>Select Design</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_FILESET_SIM" type="bit">
-          <ipxact:name>ED_FILESET_SIM</ipxact:name>
-          <ipxact:displayName>Simulation</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_FILESET_SYNTH" type="bit">
-          <ipxact:name>ED_FILESET_SYNTH</ipxact:name>
-          <ipxact:displayName>Synthesis</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_HDL_FORMAT_SIM" type="string">
-          <ipxact:name>ED_HDL_FORMAT_SIM</ipxact:name>
-          <ipxact:displayName>HDL Format</ipxact:displayName>
-          <ipxact:value>VERILOG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_SIM_PAT_TESTMODE" type="string">
-          <ipxact:name>ED_SIM_PAT_TESTMODE</ipxact:name>
-          <ipxact:displayName>Test pattern</ipxact:displayName>
-          <ipxact:value>PRBS_7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_HDL_FORMAT_SYNTH" type="string">
-          <ipxact:name>ED_HDL_FORMAT_SYNTH</ipxact:name>
-          <ipxact:displayName>HDL Format</ipxact:displayName>
-          <ipxact:value>VERILOG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_DEV_KIT" type="string">
-          <ipxact:name>ED_DEV_KIT</ipxact:name>
-          <ipxact:displayName>Select Board</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="GUI_ED_DEV_KIT" type="string">
-          <ipxact:name>GUI_ED_DEV_KIT</ipxact:name>
-          <ipxact:displayName>Select Board</ipxact:displayName>
-          <ipxact:value>None</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_SINGLE_REFCLK" type="bit">
-          <ipxact:name>ED_SINGLE_REFCLK</ipxact:name>
-          <ipxact:displayName>Single reference clock (Advanced users only. Not recommended.)</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ED_3WIRE_SPI" type="bit">
-          <ipxact:name>ED_3WIRE_SPI</ipxact:name>
-          <ipxact:displayName>Generate 3-wire SPI module</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SELECT_CUSTOM_DEVICE" type="bit">
-          <ipxact:name>SELECT_CUSTOM_DEVICE</ipxact:name>
-          <ipxact:displayName>Change Target Device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DYN_CSR" type="string">
-          <ipxact:name>DYN_CSR</ipxact:name>
-          <ipxact:displayName>Dynamic CSR Configuration for DV purpose</ipxact:displayName>
-          <ipxact:value>DISABLE</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rcp_load_enable" type="int">
-          <ipxact:name>rcp_load_enable</ipxact:name>
-          <ipxact:displayName>Enable adaptation load soft IP</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="enable_adpt_multi_recipe" type="int">
-          <ipxact:name>enable_adpt_multi_recipe</ipxact:name>
-          <ipxact:displayName>enable_adpt_multi_recipe</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="cal_recipe_sel" type="string">
-          <ipxact:name>cal_recipe_sel</ipxact:name>
-          <ipxact:displayName>PMA adaptation Select </ipxact:displayName>
-          <ipxact:value>NRZ_28Gbps_VSR</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="enable_pma_adpt_disp" type="int">
-          <ipxact:name>enable_pma_adpt_disp</ipxact:name>
-          <ipxact:displayName>enable_pma_adpt_disp</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_val_a" type="int">
-          <ipxact:name>ctle_lf_val_a</ipxact:name>
-          <ipxact:displayName>GAINLF</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_val_ada_a" type="string">
-          <ipxact:name>ctle_lf_val_ada_a</ipxact:name>
-          <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_min_a" type="int">
-          <ipxact:name>ctle_lf_min_a</ipxact:name>
-          <ipxact:displayName>CTLE LF Min</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_max_a" type="int">
-          <ipxact:name>ctle_lf_max_a</ipxact:name>
-          <ipxact:displayName>CTLE LF Max</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_val_a" type="int">
-          <ipxact:name>ctle_hf_val_a</ipxact:name>
-          <ipxact:displayName>GAINHF</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_val_ada_a" type="string">
-          <ipxact:name>ctle_hf_val_ada_a</ipxact:name>
-          <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_min_a" type="int">
-          <ipxact:name>ctle_hf_min_a</ipxact:name>
-          <ipxact:displayName>CTLE HF Min</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_max_a" type="int">
-          <ipxact:name>ctle_hf_max_a</ipxact:name>
-          <ipxact:displayName>CTLE HF Max</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_val_a" type="int">
-          <ipxact:name>rf_p2_val_a</ipxact:name>
-          <ipxact:displayName>RF_P2</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_val_ada_a" type="string">
-          <ipxact:name>rf_p2_val_ada_a</ipxact:name>
-          <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_min_a" type="int">
-          <ipxact:name>rf_p2_min_a</ipxact:name>
-          <ipxact:displayName>RF_P2_MIN</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_max_a" type="int">
-          <ipxact:name>rf_p2_max_a</ipxact:name>
-          <ipxact:displayName>RF_P2_MAX</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_val_a" type="int">
-          <ipxact:name>rf_p1_val_a</ipxact:name>
-          <ipxact:displayName>RF_P1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_val_ada_a" type="string">
-          <ipxact:name>rf_p1_val_ada_a</ipxact:name>
-          <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_min_a" type="int">
-          <ipxact:name>rf_p1_min_a</ipxact:name>
-          <ipxact:displayName>RF_P1_MIN</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_max_a" type="int">
-          <ipxact:name>rf_p1_max_a</ipxact:name>
-          <ipxact:displayName>RF_P1_MAX</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_reserved0_a" type="int">
-          <ipxact:name>rf_reserved0_a</ipxact:name>
-          <ipxact:displayName>Reserved 0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p0_val_a" type="int">
-          <ipxact:name>rf_p0_val_a</ipxact:name>
-          <ipxact:displayName>RF_P0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p0_val_ada_a" type="string">
-          <ipxact:name>rf_p0_val_ada_a</ipxact:name>
-          <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_reserved1_a" type="int">
-          <ipxact:name>rf_reserved1_a</ipxact:name>
-          <ipxact:displayName>Reserved 1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0t_a" type="int">
-          <ipxact:name>rf_b0t_a</ipxact:name>
-          <ipxact:displayName>RF_B0T</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_gs1_val_a" type="int">
-          <ipxact:name>ctle_gs1_val_a</ipxact:name>
-          <ipxact:displayName>GS1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_gs2_val_a" type="int">
-          <ipxact:name>ctle_gs2_val_a</ipxact:name>
-          <ipxact:displayName>GS2</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b1_a" type="int">
-          <ipxact:name>rf_b1_a</ipxact:name>
-          <ipxact:displayName>RF_B1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b1_ada_a" type="string">
-          <ipxact:name>rf_b1_ada_a</ipxact:name>
-          <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0_a" type="int">
-          <ipxact:name>rf_b0_a</ipxact:name>
-          <ipxact:displayName>RF_B0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0_ada_a" type="string">
-          <ipxact:name>rf_b0_ada_a</ipxact:name>
-          <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_a_a" type="int">
-          <ipxact:name>rf_a_a</ipxact:name>
-          <ipxact:displayName>RF_A</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_ctle_frz_a" type="int">
-          <ipxact:name>l_ctle_frz_a</ipxact:name>
-          <ipxact:displayName>l_ctle_frz_a</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_rf_frz_a" type="int">
-          <ipxact:name>l_rf_frz_a</ipxact:name>
-          <ipxact:displayName>l_rf_frz_a</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_val_b" type="int">
-          <ipxact:name>ctle_lf_val_b</ipxact:name>
-          <ipxact:displayName>GAINLF</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_val_ada_b" type="string">
-          <ipxact:name>ctle_lf_val_ada_b</ipxact:name>
-          <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_min_b" type="int">
-          <ipxact:name>ctle_lf_min_b</ipxact:name>
-          <ipxact:displayName>CTLE LF Min</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_lf_max_b" type="int">
-          <ipxact:name>ctle_lf_max_b</ipxact:name>
-          <ipxact:displayName>CTLE LF Max</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_val_b" type="int">
-          <ipxact:name>ctle_hf_val_b</ipxact:name>
-          <ipxact:displayName>GAINHF</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_val_ada_b" type="string">
-          <ipxact:name>ctle_hf_val_ada_b</ipxact:name>
-          <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_min_b" type="int">
-          <ipxact:name>ctle_hf_min_b</ipxact:name>
-          <ipxact:displayName>CTLE HF Min</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_hf_max_b" type="int">
-          <ipxact:name>ctle_hf_max_b</ipxact:name>
-          <ipxact:displayName>CTLE HF Max</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_val_b" type="int">
-          <ipxact:name>rf_p2_val_b</ipxact:name>
-          <ipxact:displayName>RF_P2</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_val_ada_b" type="string">
-          <ipxact:name>rf_p2_val_ada_b</ipxact:name>
-          <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_min_b" type="int">
-          <ipxact:name>rf_p2_min_b</ipxact:name>
-          <ipxact:displayName>RF_P2_MIN</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p2_max_b" type="int">
-          <ipxact:name>rf_p2_max_b</ipxact:name>
-          <ipxact:displayName>RF_P2_MAX</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_val_b" type="int">
-          <ipxact:name>rf_p1_val_b</ipxact:name>
-          <ipxact:displayName>RF_P1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_val_ada_b" type="string">
-          <ipxact:name>rf_p1_val_ada_b</ipxact:name>
-          <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_min_b" type="int">
-          <ipxact:name>rf_p1_min_b</ipxact:name>
-          <ipxact:displayName>RF_P1_MIN</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p1_max_b" type="int">
-          <ipxact:name>rf_p1_max_b</ipxact:name>
-          <ipxact:displayName>RF_P1_MAX</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_reserved0_b" type="int">
-          <ipxact:name>rf_reserved0_b</ipxact:name>
-          <ipxact:displayName>Reserved 0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p0_val_b" type="int">
-          <ipxact:name>rf_p0_val_b</ipxact:name>
-          <ipxact:displayName>RF_P0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_p0_val_ada_b" type="string">
-          <ipxact:name>rf_p0_val_ada_b</ipxact:name>
-          <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_reserved1_b" type="int">
-          <ipxact:name>rf_reserved1_b</ipxact:name>
-          <ipxact:displayName>Reserved 1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0t_b" type="int">
-          <ipxact:name>rf_b0t_b</ipxact:name>
-          <ipxact:displayName>RF_B0T</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_gs1_val_b" type="int">
-          <ipxact:name>ctle_gs1_val_b</ipxact:name>
-          <ipxact:displayName>GS1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ctle_gs2_val_b" type="int">
-          <ipxact:name>ctle_gs2_val_b</ipxact:name>
-          <ipxact:displayName>GS2</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b1_b" type="int">
-          <ipxact:name>rf_b1_b</ipxact:name>
-          <ipxact:displayName>RF_B1</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b1_ada_b" type="string">
-          <ipxact:name>rf_b1_ada_b</ipxact:name>
-          <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0_b" type="int">
-          <ipxact:name>rf_b0_b</ipxact:name>
-          <ipxact:displayName>RF_B0</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_b0_ada_b" type="string">
-          <ipxact:name>rf_b0_ada_b</ipxact:name>
-          <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName>
-          <ipxact:value>adaptable</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="rf_a_b" type="int">
-          <ipxact:name>rf_a_b</ipxact:name>
-          <ipxact:displayName>RF_A</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_ctle_frz_b" type="int">
-          <ipxact:name>l_ctle_frz_b</ipxact:name>
-          <ipxact:displayName>l_ctle_frz_b</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_rf_frz_b" type="int">
-          <ipxact:name>l_rf_frz_b</ipxact:name>
-          <ipxact:displayName>l_rf_frz_b</ipxact:displayName>
-          <ipxact:value>999</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_multi_enable" type="int">
-          <ipxact:name>adpt_multi_enable</ipxact:name>
-          <ipxact:displayName>Enable multiple PMA configuration</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_cnt" type="int">
-          <ipxact:name>adpt_recipe_cnt</ipxact:name>
-          <ipxact:displayName>Number of PMA configuration</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_select" type="int">
-          <ipxact:name>adpt_recipe_select</ipxact:name>
-          <ipxact:displayName>Select a PMA configuration to load or store</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data0" type="string">
-          <ipxact:name>adpt_recipe_data0</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data0</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data1" type="string">
-          <ipxact:name>adpt_recipe_data1</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data1</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data2" type="string">
-          <ipxact:name>adpt_recipe_data2</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data2</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data3" type="string">
-          <ipxact:name>adpt_recipe_data3</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data3</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data4" type="string">
-          <ipxact:name>adpt_recipe_data4</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data4</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data5" type="string">
-          <ipxact:name>adpt_recipe_data5</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data5</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data6" type="string">
-          <ipxact:name>adpt_recipe_data6</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data6</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_recipe_data7" type="string">
-          <ipxact:name>adpt_recipe_data7</ipxact:name>
-          <ipxact:displayName>adpt_recipe_data7</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_params" type="string">
-          <ipxact:name>adpt_params</ipxact:name>
-          <ipxact:displayName>adpt_params</ipxact:displayName>
-          <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a,ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_params_a" type="string">
-          <ipxact:name>adpt_params_a</ipxact:name>
-          <ipxact:displayName>adpt_params_a</ipxact:displayName>
-          <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_params_b" type="string">
-          <ipxact:name>adpt_params_b</ipxact:name>
-          <ipxact:displayName>adpt_params_b</ipxact:displayName>
-          <ipxact:value>ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_labels_a" type="string">
-          <ipxact:name>adpt_param_labels_a</ipxact:name>
-          <ipxact:displayName>Init. Parameters</ipxact:displayName>
-          <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_labels_b" type="string">
-          <ipxact:name>adpt_param_labels_b</ipxact:name>
-          <ipxact:displayName>Cont. Parameters</ipxact:displayName>
-          <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals0" type="string">
-          <ipxact:name>adpt_param_vals0</ipxact:name>
-          <ipxact:displayName>adpt_param_vals0</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals1" type="string">
-          <ipxact:name>adpt_param_vals1</ipxact:name>
-          <ipxact:displayName>adpt_param_vals1</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals2" type="string">
-          <ipxact:name>adpt_param_vals2</ipxact:name>
-          <ipxact:displayName>adpt_param_vals2</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals3" type="string">
-          <ipxact:name>adpt_param_vals3</ipxact:name>
-          <ipxact:displayName>adpt_param_vals3</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals4" type="string">
-          <ipxact:name>adpt_param_vals4</ipxact:name>
-          <ipxact:displayName>adpt_param_vals4</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals5" type="string">
-          <ipxact:name>adpt_param_vals5</ipxact:name>
-          <ipxact:displayName>adpt_param_vals5</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals6" type="string">
-          <ipxact:name>adpt_param_vals6</ipxact:name>
-          <ipxact:displayName>adpt_param_vals6</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals7" type="string">
-          <ipxact:name>adpt_param_vals7</ipxact:name>
-          <ipxact:displayName>adpt_param_vals7</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals0_a" type="string">
-          <ipxact:name>adpt_param_vals0_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 0</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals1_a" type="string">
-          <ipxact:name>adpt_param_vals1_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 1</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals2_a" type="string">
-          <ipxact:name>adpt_param_vals2_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 2</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals3_a" type="string">
-          <ipxact:name>adpt_param_vals3_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 3</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals4_a" type="string">
-          <ipxact:name>adpt_param_vals4_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 4</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals5_a" type="string">
-          <ipxact:name>adpt_param_vals5_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 5</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals6_a" type="string">
-          <ipxact:name>adpt_param_vals6_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 6</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals7_a" type="string">
-          <ipxact:name>adpt_param_vals7_a</ipxact:name>
-          <ipxact:displayName>PMA configuration 7</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals0_b" type="string">
-          <ipxact:name>adpt_param_vals0_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 0</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals1_b" type="string">
-          <ipxact:name>adpt_param_vals1_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 1</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals2_b" type="string">
-          <ipxact:name>adpt_param_vals2_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 2</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals3_b" type="string">
-          <ipxact:name>adpt_param_vals3_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 3</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals4_b" type="string">
-          <ipxact:name>adpt_param_vals4_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 4</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals5_b" type="string">
-          <ipxact:name>adpt_param_vals5_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 5</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals6_b" type="string">
-          <ipxact:name>adpt_param_vals6_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 6</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="adpt_param_vals7_b" type="string">
-          <ipxact:name>adpt_param_vals7_b</ipxact:name>
-          <ipxact:displayName>PMA configuration 7</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_DEVICE" type="string">
-          <ipxact:name>AUTO_DEVICE</ipxact:name>
-          <ipxact:displayName>Auto DEVICE</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_module_parameters>
-    <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
-{
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element jesd204_0
-   {
-      datum _sortIndex
-      {
-         value = "0";
-         type = "int";
-      }
-   }
-}
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rxlink_clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rxlink_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rxlink_rst_n&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rxlink_rst_n_reset_n&lt;/name&gt;
-                    &lt;role&gt;reset_n&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;rxlink_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_avs_clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_avs_rst_n&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_rst_n&lt;/name&gt;
-                    &lt;role&gt;reset_n&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_avs&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_chipselect&lt;/name&gt;
-                    &lt;role&gt;chipselect&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_waitrequest&lt;/name&gt;
-                    &lt;role&gt;waitrequest&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_avs_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;1024&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_rst_n&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_link&lt;/name&gt;
-            &lt;type&gt;avalon_streaming&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_link_data&lt;/name&gt;
-                    &lt;role&gt;data&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_link_valid&lt;/name&gt;
-                    &lt;role&gt;valid&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_link_ready&lt;/name&gt;
-                    &lt;role&gt;ready&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;rxlink_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;rxlink_rst_n&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;beatsPerCycle&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;dataBitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;emptyWithinPacket&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;errorDescriptor&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;firstSymbolInHighOrderBits&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;highOrderSymbolAtMSB&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maxChannel&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;packetDescription&lt;/key&gt;
-                        &lt;value&gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readyAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readyLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;symbolsPerBeat&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;sof&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;sof&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;somf&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;somf&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;alldev_lane_aligned&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;alldev_lane_aligned&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;dev_lane_aligned&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;dev_lane_aligned&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;dev_sync_n&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;dev_sync_n&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;sysref&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;sysref&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_int&lt;/name&gt;
-            &lt;type&gt;interrupt&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_int&lt;/name&gt;
-                    &lt;role&gt;irq&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedAddressablePoint&lt;/key&gt;
-                        &lt;value&gt;ip_arria10_e1sg_jesd204b_rx.jesd204_rx_avs&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;jesd204_rx_avs_rst_n&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedReceiverOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToReceiver&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;irqScheme&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_rx_testmode&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_rx_testmode&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_f&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_f&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_k&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_k&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_l&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_l&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_m&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_m&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_n&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_n&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_s&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_s&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_cf&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_cf&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_cs&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_cs&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;2&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_hd&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_hd&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_np&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_np&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_lane_powerdown&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_lane_powerdown&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_frame_error&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_frame_error&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_data&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_data&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_data_valid&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_data_valid&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_kchar_data&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_kchar_data&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_errdetect&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_errdetect&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;jesd204_rx_dlb_disperr&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;jesd204_rx_dlb_disperr&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;4&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;pll_ref_clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;pll_ref_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rxphy_clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rxphy_clk&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_islockedtodata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_islockedtodata&lt;/name&gt;
-                    &lt;role&gt;rx_is_lockedtodata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_cal_busy&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_cal_busy&lt;/name&gt;
-                    &lt;role&gt;rx_cal_busy&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_analogreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_analogreset&lt;/name&gt;
-                    &lt;role&gt;rx_analogreset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_digitalreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_digitalreset&lt;/name&gt;
-                    &lt;role&gt;rx_digitalreset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_serial_data&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_serial_data&lt;/name&gt;
-                    &lt;role&gt;rx_serial_data&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;jesd204_rx_avs&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;jesd204_rx_avs&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;10&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_system_parameters>
-    <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="alldev_lane_aligned" altera:internal="jesd204_0.alldev_lane_aligned" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="alldev_lane_aligned" altera:internal="alldev_lane_aligned"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_cf" altera:internal="jesd204_0.csr_cf" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_cf" altera:internal="csr_cf"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_cs" altera:internal="jesd204_0.csr_cs" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_cs" altera:internal="csr_cs"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_f" altera:internal="jesd204_0.csr_f" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_f" altera:internal="csr_f"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_hd" altera:internal="jesd204_0.csr_hd" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_hd" altera:internal="csr_hd"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_k" altera:internal="jesd204_0.csr_k" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_k" altera:internal="csr_k"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_l" altera:internal="jesd204_0.csr_l" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_l" altera:internal="csr_l"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_lane_powerdown" altera:internal="jesd204_0.csr_lane_powerdown" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_lane_powerdown" altera:internal="csr_lane_powerdown"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_m" altera:internal="jesd204_0.csr_m" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_m" altera:internal="csr_m"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_n" altera:internal="jesd204_0.csr_n" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_n" altera:internal="csr_n"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_np" altera:internal="jesd204_0.csr_np" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_np" altera:internal="csr_np"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_rx_testmode" altera:internal="jesd204_0.csr_rx_testmode" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_rx_testmode" altera:internal="csr_rx_testmode"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_s" altera:internal="jesd204_0.csr_s" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="csr_s" altera:internal="csr_s"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_tx_testmode" altera:internal="jesd204_0.csr_tx_testmode"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_tx_testpattern_a" altera:internal="jesd204_0.csr_tx_testpattern_a"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_tx_testpattern_b" altera:internal="jesd204_0.csr_tx_testpattern_b"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_tx_testpattern_c" altera:internal="jesd204_0.csr_tx_testpattern_c"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="csr_tx_testpattern_d" altera:internal="jesd204_0.csr_tx_testpattern_d"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="dev_lane_aligned" altera:internal="jesd204_0.dev_lane_aligned" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="dev_lane_aligned" altera:internal="dev_lane_aligned"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="dev_sync_n" altera:internal="jesd204_0.dev_sync_n" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="dev_sync_n" altera:internal="dev_sync_n"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_avs" altera:internal="jesd204_0.jesd204_rx_avs" altera:type="avalon" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_avs_address" altera:internal="jesd204_rx_avs_address"></altera:port_mapping>
-        <altera:port_mapping altera:name="jesd204_rx_avs_chipselect" altera:internal="jesd204_rx_avs_chipselect"></altera:port_mapping>
-        <altera:port_mapping altera:name="jesd204_rx_avs_read" altera:internal="jesd204_rx_avs_read"></altera:port_mapping>
-        <altera:port_mapping altera:name="jesd204_rx_avs_readdata" altera:internal="jesd204_rx_avs_readdata"></altera:port_mapping>
-        <altera:port_mapping altera:name="jesd204_rx_avs_waitrequest" altera:internal="jesd204_rx_avs_waitrequest"></altera:port_mapping>
-        <altera:port_mapping altera:name="jesd204_rx_avs_write" altera:internal="jesd204_rx_avs_write"></altera:port_mapping>
-        <altera:port_mapping altera:name="jesd204_rx_avs_writedata" altera:internal="jesd204_rx_avs_writedata"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_avs_clk" altera:internal="jesd204_0.jesd204_rx_avs_clk" altera:type="clock" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_avs_clk" altera:internal="jesd204_rx_avs_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_avs_rst_n" altera:internal="jesd204_0.jesd204_rx_avs_rst_n" altera:type="reset" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_avs_rst_n" altera:internal="jesd204_rx_avs_rst_n"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_dlb_data" altera:internal="jesd204_0.jesd204_rx_dlb_data" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_dlb_data" altera:internal="jesd204_rx_dlb_data"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_dlb_data_valid" altera:internal="jesd204_0.jesd204_rx_dlb_data_valid" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_dlb_data_valid" altera:internal="jesd204_rx_dlb_data_valid"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_dlb_disperr" altera:internal="jesd204_0.jesd204_rx_dlb_disperr" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_dlb_disperr" altera:internal="jesd204_rx_dlb_disperr"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_dlb_errdetect" altera:internal="jesd204_0.jesd204_rx_dlb_errdetect" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_dlb_errdetect" altera:internal="jesd204_rx_dlb_errdetect"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_dlb_kchar_data" altera:internal="jesd204_0.jesd204_rx_dlb_kchar_data" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_dlb_kchar_data" altera:internal="jesd204_rx_dlb_kchar_data"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_frame_error" altera:internal="jesd204_0.jesd204_rx_frame_error" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_frame_error" altera:internal="jesd204_rx_frame_error"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_int" altera:internal="jesd204_0.jesd204_rx_int" altera:type="interrupt" altera:dir="end">
-        <altera:port_mapping altera:name="jesd204_rx_int" altera:internal="jesd204_rx_int"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_rx_link" altera:internal="jesd204_0.jesd204_rx_link" altera:type="avalon_streaming" altera:dir="start">
-        <altera:port_mapping altera:name="jesd204_rx_link_data" altera:internal="jesd204_rx_link_data"></altera:port_mapping>
-        <altera:port_mapping altera:name="jesd204_rx_link_ready" altera:internal="jesd204_rx_link_ready"></altera:port_mapping>
-        <altera:port_mapping altera:name="jesd204_rx_link_valid" altera:internal="jesd204_rx_link_valid"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_tx_avs" altera:internal="jesd204_0.jesd204_tx_avs"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_tx_avs_clk" altera:internal="jesd204_0.jesd204_tx_avs_clk"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_tx_avs_rst_n" altera:internal="jesd204_0.jesd204_tx_avs_rst_n"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_tx_dlb_data" altera:internal="jesd204_0.jesd204_tx_dlb_data"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_tx_dlb_kchar_data" altera:internal="jesd204_0.jesd204_tx_dlb_kchar_data"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_tx_frame_error" altera:internal="jesd204_0.jesd204_tx_frame_error"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_tx_frame_ready" altera:internal="jesd204_0.jesd204_tx_frame_ready"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_tx_int" altera:internal="jesd204_0.jesd204_tx_int"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="jesd204_tx_link" altera:internal="jesd204_0.jesd204_tx_link"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="mdev_sync_n" altera:internal="jesd204_0.mdev_sync_n"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="pll_locked" altera:internal="jesd204_0.pll_locked"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="pll_ref_clk" altera:internal="jesd204_0.pll_ref_clk" altera:type="clock" altera:dir="end">
-        <altera:port_mapping altera:name="pll_ref_clk" altera:internal="pll_ref_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_analogreset" altera:internal="jesd204_0.rx_analogreset" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="jesd204_0.rx_cal_busy" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_cf" altera:internal="jesd204_0.rx_csr_cf"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_cs" altera:internal="jesd204_0.rx_csr_cs"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_f" altera:internal="jesd204_0.rx_csr_f"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_hd" altera:internal="jesd204_0.rx_csr_hd"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_k" altera:internal="jesd204_0.rx_csr_k"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_l" altera:internal="jesd204_0.rx_csr_l"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_lane_powerdown" altera:internal="jesd204_0.rx_csr_lane_powerdown"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_m" altera:internal="jesd204_0.rx_csr_m"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_n" altera:internal="jesd204_0.rx_csr_n"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_np" altera:internal="jesd204_0.rx_csr_np"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_csr_s" altera:internal="jesd204_0.rx_csr_s"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_dev_sync_n" altera:internal="jesd204_0.rx_dev_sync_n"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="jesd204_0.rx_digitalreset" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_islockedtodata" altera:internal="jesd204_0.rx_islockedtodata" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="rx_islockedtodata" altera:internal="rx_islockedtodata"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_pll_ref_clk" altera:internal="jesd204_0.rx_pll_ref_clk"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_serial_data" altera:internal="jesd204_0.rx_serial_data" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="rx_serial_data" altera:internal="rx_serial_data"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="jesd204_0.rx_seriallpbken"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_sof" altera:internal="jesd204_0.rx_sof"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_somf" altera:internal="jesd204_0.rx_somf"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rx_sysref" altera:internal="jesd204_0.rx_sysref"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="rxlink_clk" altera:internal="jesd204_0.rxlink_clk" altera:type="clock" altera:dir="end">
-        <altera:port_mapping altera:name="rxlink_clk" altera:internal="rxlink_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="rxlink_rst_n" altera:internal="jesd204_0.rxlink_rst_n" altera:type="reset" altera:dir="end">
-        <altera:port_mapping altera:name="rxlink_rst_n_reset_n" altera:internal="rxlink_rst_n_reset_n"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="rxphy_clk" altera:internal="jesd204_0.rxphy_clk" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="rxphy_clk" altera:internal="rxphy_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="sof" altera:internal="jesd204_0.sof" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="sof" altera:internal="sof"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="somf" altera:internal="jesd204_0.somf" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="somf" altera:internal="somf"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="sync_n" altera:internal="jesd204_0.sync_n"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="sysref" altera:internal="jesd204_0.sysref" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="sysref" altera:internal="sysref"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_analogreset" altera:internal="jesd204_0.tx_analogreset"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_bonding_clocks_ch0" altera:internal="jesd204_0.tx_bonding_clocks_ch0"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_bonding_clocks_ch1" altera:internal="jesd204_0.tx_bonding_clocks_ch1"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="jesd204_0.tx_cal_busy"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_cf" altera:internal="jesd204_0.tx_csr_cf"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_cs" altera:internal="jesd204_0.tx_csr_cs"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_f" altera:internal="jesd204_0.tx_csr_f"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_hd" altera:internal="jesd204_0.tx_csr_hd"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_k" altera:internal="jesd204_0.tx_csr_k"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_l" altera:internal="jesd204_0.tx_csr_l"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_lane_powerdown" altera:internal="jesd204_0.tx_csr_lane_powerdown"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_m" altera:internal="jesd204_0.tx_csr_m"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_n" altera:internal="jesd204_0.tx_csr_n"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_np" altera:internal="jesd204_0.tx_csr_np"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_csr_s" altera:internal="jesd204_0.tx_csr_s"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_dev_sync_n" altera:internal="jesd204_0.tx_dev_sync_n"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="jesd204_0.tx_digitalreset"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_serial_data" altera:internal="jesd204_0.tx_serial_data"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_somf" altera:internal="jesd204_0.tx_somf"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="tx_sysref" altera:internal="jesd204_0.tx_sysref"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="txlink_clk" altera:internal="jesd204_0.txlink_clk"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="txlink_rst_n" altera:internal="jesd204_0.txlink_rst_n"></altera:interface_mapping>
-      <altera:interface_mapping altera:name="txphy_clk" altera:internal="jesd204_0.txphy_clk"></altera:interface_mapping>
-    </altera:altera_interface_boundary>
-    <altera:altera_has_warnings>false</altera:altera_has_warnings>
-    <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz_12ch.qsys b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz_12ch.qsys
deleted file mode 100644
index c4ed31506907439a2e3c34051e354636b59c4eca..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_200MHz_12ch.qsys
+++ /dev/null
@@ -1,3633 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<system name="ip_arria10_e1sg_jesd204b_rx_200MHz">
- <component
-   name="$${FILENAME}"
-   displayName="$${FILENAME}"
-   version="1.0"
-   description=""
-   tags=""
-   categories="System"
-   tool="QsysPro" />
- <parameter name="bonusData"><![CDATA[bonusData 
-{
-   element jesd204_0
-   {
-      datum _sortIndex
-      {
-         value = "0";
-         type = "int";
-      }
-   }
-}
-]]></parameter>
- <parameter name="device" value="10AX115U2F45E1SG" />
- <parameter name="deviceFamily" value="Arria 10" />
- <parameter name="deviceSpeedGrade" value="1" />
- <parameter name="fabricMode" value="QSYS" />
- <parameter name="generateLegacySim" value="false" />
- <parameter name="generationId" value="0" />
- <parameter name="globalResetBus" value="false" />
- <parameter name="hdlLanguage" value="VERILOG" />
- <parameter name="hideFromIPCatalog" value="false" />
- <parameter name="lockedInterfaceDefinition" value="" />
- <parameter name="sopcBorderPoints" value="false" />
- <parameter name="systemHash" value="0" />
- <parameter name="systemInfos"><![CDATA[<systemInfosDefinition>
-    <connPtSystemInfos>
-        <entry>
-            <key>jesd204_rx_avs</key>
-            <value>
-                <connectionPointName>jesd204_rx_avs</connectionPointName>
-                <suppliedSystemInfos/>
-                <consumedSystemInfos>
-                    <entry>
-                        <key>ADDRESS_MAP</key>
-                        <value>&lt;address-map&gt;&lt;slave name='jesd204_0.jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value>
-                    </entry>
-                    <entry>
-                        <key>ADDRESS_WIDTH</key>
-                        <value>10</value>
-                    </entry>
-                    <entry>
-                        <key>MAX_SLAVE_DATA_WIDTH</key>
-                        <value>32</value>
-                    </entry>
-                </consumedSystemInfos>
-            </value>
-        </entry>
-    </connPtSystemInfos>
-</systemInfosDefinition>]]></parameter>
- <parameter name="systemScripts" value="" />
- <parameter name="testBenchDutName" value="" />
- <parameter name="timeStamp" value="0" />
- <parameter name="useTestBenchNamingPattern" value="false" />
- <instanceScript></instanceScript>
- <interface name="csr_cf" internal="jesd204_0.csr_cf" type="conduit" dir="end" />
- <interface name="csr_cs" internal="jesd204_0.csr_cs" type="conduit" dir="end" />
- <interface name="csr_f" internal="jesd204_0.csr_f" type="conduit" dir="end" />
- <interface name="csr_hd" internal="jesd204_0.csr_hd" type="conduit" dir="end" />
- <interface name="csr_k" internal="jesd204_0.csr_k" type="conduit" dir="end" />
- <interface name="csr_l" internal="jesd204_0.csr_l" type="conduit" dir="end" />
- <interface
-   name="csr_lane_powerdown"
-   internal="jesd204_0.csr_lane_powerdown"
-   type="conduit"
-   dir="end" />
- <interface name="csr_m" internal="jesd204_0.csr_m" type="conduit" dir="end" />
- <interface name="csr_n" internal="jesd204_0.csr_n" type="conduit" dir="end" />
- <interface name="csr_np" internal="jesd204_0.csr_np" type="conduit" dir="end" />
- <interface
-   name="csr_rx_testmode"
-   internal="jesd204_0.csr_rx_testmode"
-   type="conduit"
-   dir="end" />
- <interface name="csr_s" internal="jesd204_0.csr_s" type="conduit" dir="end" />
- <interface
-   name="dev_lane_aligned"
-   internal="jesd204_0.dev_lane_aligned"
-   type="conduit"
-   dir="end" />
- <interface
-   name="dev_sync_n"
-   internal="jesd204_0.dev_sync_n"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_0_alldev_lane_aligned"
-   internal="jesd204_0.alldev_lane_aligned"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_avs"
-   internal="jesd204_0.jesd204_rx_avs"
-   type="avalon"
-   dir="end" />
- <interface
-   name="jesd204_rx_avs_clk"
-   internal="jesd204_0.jesd204_rx_avs_clk"
-   type="clock"
-   dir="end" />
- <interface
-   name="jesd204_rx_avs_rst_n"
-   internal="jesd204_0.jesd204_rx_avs_rst_n"
-   type="reset"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_data"
-   internal="jesd204_0.jesd204_rx_dlb_data"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_data_valid"
-   internal="jesd204_0.jesd204_rx_dlb_data_valid"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_disperr"
-   internal="jesd204_0.jesd204_rx_dlb_disperr"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_errdetect"
-   internal="jesd204_0.jesd204_rx_dlb_errdetect"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_dlb_kchar_data"
-   internal="jesd204_0.jesd204_rx_dlb_kchar_data"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_frame_error"
-   internal="jesd204_0.jesd204_rx_frame_error"
-   type="conduit"
-   dir="end" />
- <interface
-   name="jesd204_rx_int"
-   internal="jesd204_0.jesd204_rx_int"
-   type="interrupt"
-   dir="end" />
- <interface
-   name="jesd204_rx_link"
-   internal="jesd204_0.jesd204_rx_link"
-   type="avalon_streaming"
-   dir="start" />
- <interface
-   name="pll_ref_clk"
-   internal="jesd204_0.pll_ref_clk"
-   type="clock"
-   dir="end" />
- <interface
-   name="rx_analogreset"
-   internal="jesd204_0.rx_analogreset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_cal_busy"
-   internal="jesd204_0.rx_cal_busy"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_digitalreset"
-   internal="jesd204_0.rx_digitalreset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_islockedtodata"
-   internal="jesd204_0.rx_islockedtodata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_serial_data"
-   internal="jesd204_0.rx_serial_data"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rxlink_clk"
-   internal="jesd204_0.rxlink_clk"
-   type="clock"
-   dir="end" />
- <interface
-   name="rxlink_rst_n"
-   internal="jesd204_0.rxlink_rst_n"
-   type="reset"
-   dir="end" />
- <interface
-   name="rxphy_clk"
-   internal="jesd204_0.rxphy_clk"
-   type="conduit"
-   dir="end" />
- <interface name="sof" internal="jesd204_0.sof" type="conduit" dir="end" />
- <interface name="somf" internal="jesd204_0.somf" type="conduit" dir="end" />
- <interface name="sysref" internal="jesd204_0.sysref" type="conduit" dir="end" />
- <module
-   name="jesd204_0"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
-  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>alldev_lane_aligned</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>alldev_lane_aligned</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_cf</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_cf</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_cs</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_cs</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>2</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_f</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_f</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>8</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_hd</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_hd</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_k</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_k</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_l</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_l</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_lane_powerdown</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_lane_powerdown</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_m</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_m</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>8</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_n</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_n</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_np</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_np</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_rx_testmode</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_rx_testmode</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_s</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_s</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>5</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>dev_lane_aligned</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>dev_lane_aligned</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>dev_sync_n</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>dev_sync_n</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_avs</name>
-                <type>avalon</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_avs_chipselect</name>
-                        <role>chipselect</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_address</name>
-                        <role>address</role>
-                        <direction>Input</direction>
-                        <width>8</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_read</name>
-                        <role>read</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_readdata</name>
-                        <role>readdata</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_waitrequest</name>
-                        <role>waitrequest</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_write</name>
-                        <role>write</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_avs_writedata</name>
-                        <role>writedata</role>
-                        <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>embeddedsw.configuration.isFlash</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isMemoryDevice</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isPrintableDevice</key>
-                            <value>0</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>addressAlignment</key>
-                            <value>DYNAMIC</value>
-                        </entry>
-                        <entry>
-                            <key>addressGroup</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>addressSpan</key>
-                            <value>1024</value>
-                        </entry>
-                        <entry>
-                            <key>addressUnits</key>
-                            <value>WORDS</value>
-                        </entry>
-                        <entry>
-                            <key>alwaysBurstMaxBurst</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>jesd204_rx_avs_clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>jesd204_rx_avs_rst_n</value>
-                        </entry>
-                        <entry>
-                            <key>bitsPerSymbol</key>
-                            <value>8</value>
-                        </entry>
-                        <entry>
-                            <key>bridgedAddressOffset</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>bridgesToMaster</key>
-                        </entry>
-                        <entry>
-                            <key>burstOnBurstBoundariesOnly</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>burstcountUnits</key>
-                            <value>WORDS</value>
-                        </entry>
-                        <entry>
-                            <key>constantBurstBehavior</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>explicitAddressSpan</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>holdTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>interleaveBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isBigEndian</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isFlash</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isMemoryDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isNonVolatileStorage</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>linewrapBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingReadTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingWriteTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>minimumReadLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumResponseLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumUninterruptedRunLength</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>printableDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>readLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitStates</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitTime</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>registerIncomingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>registerOutgoingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>setupTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>timingUnits</key>
-                            <value>Cycles</value>
-                        </entry>
-                        <entry>
-                            <key>transparentBridge</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>waitrequestAllowance</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>wellBehavedWaitrequest</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>writeLatency</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitStates</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitTime</key>
-                            <value>0</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_avs_clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_avs_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_avs_rst_n</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_avs_rst_n</name>
-                        <role>reset_n</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>jesd204_rx_avs_clk</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_data</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_data</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_data_valid</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_data_valid</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_disperr</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_disperr</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_errdetect</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_errdetect</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_dlb_kchar_data</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_dlb_kchar_data</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_frame_error</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_frame_error</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_int</name>
-                <type>interrupt</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_int</name>
-                        <role>irq</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedAddressablePoint</key>
-                            <value>jesd204_0.jesd204_rx_avs</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>jesd204_rx_avs_clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>jesd204_rx_avs_rst_n</value>
-                        </entry>
-                        <entry>
-                            <key>bridgedReceiverOffset</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>bridgesToReceiver</key>
-                        </entry>
-                        <entry>
-                            <key>irqScheme</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>jesd204_rx_link</name>
-                <type>avalon_streaming</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>jesd204_rx_link_data</name>
-                        <role>data</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_link_valid</name>
-                        <role>valid</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>jesd204_rx_link_ready</name>
-                        <role>ready</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>rxlink_clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>rxlink_rst_n</value>
-                        </entry>
-                        <entry>
-                            <key>beatsPerCycle</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>dataBitsPerSymbol</key>
-                            <value>32</value>
-                        </entry>
-                        <entry>
-                            <key>emptyWithinPacket</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>errorDescriptor</key>
-                        </entry>
-                        <entry>
-                            <key>firstSymbolInHighOrderBits</key>
-                            <value>true</value>
-                        </entry>
-                        <entry>
-                            <key>highOrderSymbolAtMSB</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>maxChannel</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>packetDescription</key>
-                            <value></value>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>readyAllowance</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>readyLatency</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>symbolsPerBeat</key>
-                            <value>1</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>pll_ref_clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>pll_ref_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_analogreset</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_analogreset</name>
-                        <role>rx_analogreset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_cal_busy</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_cal_busy</name>
-                        <role>rx_cal_busy</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_digitalreset</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_digitalreset</name>
-                        <role>rx_digitalreset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_islockedtodata</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_islockedtodata</name>
-                        <role>rx_is_lockedtodata</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_serial_data</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_serial_data</name>
-                        <role>rx_serial_data</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rxlink_clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rxlink_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rxlink_rst_n</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rxlink_rst_n_reset_n</name>
-                        <role>reset_n</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>rxlink_clk</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rxphy_clk</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rxphy_clk</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>sof</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>sof</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>somf</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>somf</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>sysref</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>sysref</name>
-                        <role>export</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_jesd204</className>
-        <version>19.2.0</version>
-        <displayName>JESD204B Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>AUTO_DEVICE</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>DEVICE_FAMILY</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_FAMILY</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>DEVICE_SPEEDGRADE</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>die_revisions</parameterName>
-                <parameterType>[Ljava.lang.String;</parameterType>
-                <systemInfotype>DEVICE_DIE_REVISIONS</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>die_types</parameterName>
-                <parameterType>[Ljava.lang.String;</parameterType>
-                <systemInfotype>DEVICE_DIE_TYPES</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>part_trait_dp</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfoArgs>DEVICE</systemInfoArgs>
-                <systemInfotype>PART_TRAIT</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>jesd204_rx_avs</key>
-                <value>
-                    <connectionPointName>jesd204_rx_avs</connectionPointName>
-                    <suppliedSystemInfos>
-                        <entry>
-                            <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value>
-                        </entry>
-                        <entry>
-                            <key>ADDRESS_WIDTH</key>
-                            <value>10</value>
-                        </entry>
-                        <entry>
-                            <key>MAX_SLAVE_DATA_WIDTH</key>
-                            <value>32</value>
-                        </entry>
-                    </suppliedSystemInfos>
-                    <consumedSystemInfos/>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>rxlink_clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rxlink_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rxlink_rst_n</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rxlink_rst_n_reset_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>rxlink_clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_avs_clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_avs_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_avs_rst_n</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_avs_rst_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>jesd204_rx_avs_clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_avs</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_avs_chipselect</name>
-                    <role>chipselect</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_waitrequest</name>
-                    <role>waitrequest</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_avs_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>1024</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>jesd204_rx_avs_clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>jesd204_rx_avs_rst_n</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_link</name>
-            <type>avalon_streaming</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_link_data</name>
-                    <role>data</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_link_valid</name>
-                    <role>valid</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>jesd204_rx_link_ready</name>
-                    <role>ready</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>rxlink_clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>rxlink_rst_n</value>
-                    </entry>
-                    <entry>
-                        <key>beatsPerCycle</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>dataBitsPerSymbol</key>
-                        <value>32</value>
-                    </entry>
-                    <entry>
-                        <key>emptyWithinPacket</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>errorDescriptor</key>
-                    </entry>
-                    <entry>
-                        <key>firstSymbolInHighOrderBits</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>highOrderSymbolAtMSB</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maxChannel</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>packetDescription</key>
-                        <value></value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readyAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readyLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>symbolsPerBeat</key>
-                        <value>1</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>sof</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>sof</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>somf</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>somf</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>alldev_lane_aligned</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>alldev_lane_aligned</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>dev_lane_aligned</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>dev_lane_aligned</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>dev_sync_n</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>dev_sync_n</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>sysref</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>sysref</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_int</name>
-            <type>interrupt</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_int</name>
-                    <role>irq</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedAddressablePoint</key>
-                        <value>jesd204_0.jesd204_rx_avs</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>jesd204_rx_avs_clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>jesd204_rx_avs_rst_n</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedReceiverOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToReceiver</key>
-                    </entry>
-                    <entry>
-                        <key>irqScheme</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_rx_testmode</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_rx_testmode</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_f</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_f</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_k</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_k</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_l</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_l</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_m</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_m</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_n</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_n</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_s</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_s</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_cf</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_cf</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_cs</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_cs</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>2</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_hd</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_hd</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_np</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_np</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>5</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_lane_powerdown</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_lane_powerdown</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_frame_error</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_frame_error</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_data</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_data</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_data_valid</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_data_valid</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_kchar_data</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_kchar_data</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_errdetect</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_errdetect</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>jesd204_rx_dlb_disperr</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>jesd204_rx_dlb_disperr</name>
-                    <role>export</role>
-                    <direction>Input</direction>
-                    <width>4</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>pll_ref_clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>pll_ref_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rxphy_clk</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rxphy_clk</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_islockedtodata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_islockedtodata</name>
-                    <role>rx_is_lockedtodata</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_cal_busy</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_cal_busy</name>
-                    <role>rx_cal_busy</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_analogreset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_analogreset</name>
-                    <role>rx_analogreset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_digitalreset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_digitalreset</name>
-                    <role>rx_digitalreset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_serial_data</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_serial_data</name>
-                    <role>rx_serial_data</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
-  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>ip_arria10_e1sg_jesd204b_rx_200MHz</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_200MHz</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_200MHz</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_200MHz</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></parameter>
-  <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip_arria10_e1sg_jesd204b_rx_200MHz.ip</parameter>
-  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
-    <assignmentValueMap/>
-</assignmentDefinition>]]></parameter>
-  <parameter name="svInterfaceDefinition" value="" />
- </module>
-</system>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.ip b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.ip
index dd2400e45d53457aaefcd0a502be71439a052188..5ba5ab7cd2e41b5aee5d8873998f5faa1c468d6c 100644
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.ip
+++ b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.ip
@@ -1,4070 +1,3910 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>Intel Corporation</ipxact:vendor>
-  <ipxact:library>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</ipxact:library>
-  <ipxact:name>core_pll</ipxact:name>
-  <ipxact:version>19.3.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rst</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>Intel Corporation</spirit:vendor>
+  <spirit:library>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</spirit:library>
+  <spirit:name>iopll_0</spirit:name>
+  <spirit:version>18.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>locked</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>export</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>locked</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>refclk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>refclk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>200000000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>outclk0</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>outclk_0</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedDirectClock</spirit:name>
+          <spirit:displayName>Associated direct clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">100000000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockRateKnown</spirit:name>
+          <spirit:displayName>Clock rate known</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clockRateKnown">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>locked</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>locked</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>outclk1</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>outclk_1</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedDirectClock</spirit:name>
+          <spirit:displayName>Associated direct clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">200000000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clockRateKnown</spirit:name>
+          <spirit:displayName>Clock rate known</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clockRateKnown">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>outclk0</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>outclk_0</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedDirectClock" type="string">
-          <ipxact:name>associatedDirectClock</ipxact:name>
-          <ipxact:displayName>Associated direct clock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>100000000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clockRateKnown" type="bit">
-          <ipxact:name>clockRateKnown</ipxact:name>
-          <ipxact:displayName>Clock rate known</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>refclk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>refclk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">200000000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>outclk1</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>outclk_1</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedDirectClock" type="string">
-          <ipxact:name>associatedDirectClock</ipxact:name>
-          <ipxact:displayName>Associated direct clock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>200000000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clockRateKnown" type="bit">
-          <ipxact:name>clockRateKnown</ipxact:name>
-          <ipxact:displayName>Clock rate known</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rst</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>altera_iopll</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>rst</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>refclk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>locked</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>outclk_0</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>outclk_1</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>altera_iopll</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>rst</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>refclk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>locked</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>outclk_0</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>outclk_1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>Intel Corporation</ipxact:vendor>
-      <ipxact:library>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</ipxact:library>
-      <ipxact:name>altera_iopll</ipxact:name>
-      <ipxact:version>19.3.0</ipxact:version>
+      <spirit:vendor>Intel Corporation</spirit:vendor>
+      <spirit:library>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</spirit:library>
+      <spirit:name>altera_iopll</spirit:name>
+      <spirit:version>18.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="gui_device_family" type="string">
-          <ipxact:name>gui_device_family</ipxact:name>
-          <ipxact:displayName>Device Family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_device_component" type="string">
-          <ipxact:name>gui_device_component</ipxact:name>
-          <ipxact:displayName>Component</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_device_speed_grade" type="int">
-          <ipxact:name>gui_device_speed_grade</ipxact:name>
-          <ipxact:displayName>Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_debug_mode" type="bit">
-          <ipxact:name>gui_debug_mode</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_skip_sdc_generation" type="bit">
-          <ipxact:name>gui_skip_sdc_generation</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_include_iossm" type="bit">
-          <ipxact:name>gui_include_iossm</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cal_code_hex_file" type="string">
-          <ipxact:name>gui_cal_code_hex_file</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>iossm.hex</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_parameter_table_hex_file" type="string">
-          <ipxact:name>gui_parameter_table_hex_file</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>seq_params_sim.hex</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_tclk_mux_en" type="bit">
-          <ipxact:name>gui_pll_tclk_mux_en</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_tclk_sel" type="string">
-          <ipxact:name>gui_pll_tclk_sel</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>pll_tclk_m_src</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_vco_freq_band_0" type="string">
-          <ipxact:name>gui_pll_vco_freq_band_0</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>pll_freq_clk0_disabled</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_vco_freq_band_1" type="string">
-          <ipxact:name>gui_pll_vco_freq_band_1</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>pll_freq_clk1_disabled</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_freqcal_en" type="bit">
-          <ipxact:name>gui_pll_freqcal_en</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_freqcal_req_flag" type="bit">
-          <ipxact:name>gui_pll_freqcal_req_flag</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cal_converge" type="bit">
-          <ipxact:name>gui_cal_converge</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cal_error" type="string">
-          <ipxact:name>gui_cal_error</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>cal_clean</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_cal_done" type="bit">
-          <ipxact:name>gui_pll_cal_done</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_type" type="string">
-          <ipxact:name>gui_pll_type</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>S10_Simple</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_m_cnt_in_src" type="string">
-          <ipxact:name>gui_pll_m_cnt_in_src</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_c_cnt_in_src0" type="string">
-          <ipxact:name>gui_c_cnt_in_src0</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_c_cnt_in_src1" type="string">
-          <ipxact:name>gui_c_cnt_in_src1</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_c_cnt_in_src2" type="string">
-          <ipxact:name>gui_c_cnt_in_src2</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_c_cnt_in_src3" type="string">
-          <ipxact:name>gui_c_cnt_in_src3</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_c_cnt_in_src4" type="string">
-          <ipxact:name>gui_c_cnt_in_src4</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_c_cnt_in_src5" type="string">
-          <ipxact:name>gui_c_cnt_in_src5</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_c_cnt_in_src6" type="string">
-          <ipxact:name>gui_c_cnt_in_src6</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_c_cnt_in_src7" type="string">
-          <ipxact:name>gui_c_cnt_in_src7</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_c_cnt_in_src8" type="string">
-          <ipxact:name>gui_c_cnt_in_src8</ipxact:name>
-          <ipxact:displayName></ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="system_info_device_family" type="string">
-          <ipxact:name>system_info_device_family</ipxact:name>
-          <ipxact:displayName>Device Family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="system_info_device_component" type="string">
-          <ipxact:name>system_info_device_component</ipxact:name>
-          <ipxact:displayName>Component</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="system_info_device_speed_grade" type="string">
-          <ipxact:name>system_info_device_speed_grade</ipxact:name>
-          <ipxact:displayName>Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="system_part_trait_speed_grade" type="string">
-          <ipxact:name>system_part_trait_speed_grade</ipxact:name>
-          <ipxact:displayName>Speed Grade Trait</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_usr_device_speed_grade" type="string">
-          <ipxact:name>gui_usr_device_speed_grade</ipxact:name>
-          <ipxact:displayName>Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_en_reconf" type="bit">
-          <ipxact:name>gui_en_reconf</ipxact:name>
-          <ipxact:displayName>Enable dynamic reconfiguration of PLL</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_en_dps_ports" type="bit">
-          <ipxact:name>gui_en_dps_ports</ipxact:name>
-          <ipxact:displayName>Enable access to dynamic phase shift ports</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_mode" type="string">
-          <ipxact:name>gui_pll_mode</ipxact:name>
-          <ipxact:displayName>PLL Mode</ipxact:displayName>
-          <ipxact:value>Integer-N PLL</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_location_type" type="string">
-          <ipxact:name>gui_location_type</ipxact:name>
-          <ipxact:displayName>IOPLL Type</ipxact:displayName>
-          <ipxact:value>I/O Bank</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_use_logical" type="bit">
-          <ipxact:name>gui_use_logical</ipxact:name>
-          <ipxact:displayName>Use logical PLL</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_reference_clock_frequency" type="real">
-          <ipxact:name>gui_reference_clock_frequency</ipxact:name>
-          <ipxact:displayName>Reference Clock Frequency</ipxact:displayName>
-          <ipxact:value>200.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_reference_clock_frequency_ps" type="real">
-          <ipxact:name>gui_reference_clock_frequency_ps</ipxact:name>
-          <ipxact:displayName>Reference Clock Frequency</ipxact:displayName>
-          <ipxact:value>5000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_use_coreclk" type="bit">
-          <ipxact:name>gui_use_coreclk</ipxact:name>
-          <ipxact:displayName>Refclk source is global clock</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_refclk_might_change" type="bit">
-          <ipxact:name>gui_refclk_might_change</ipxact:name>
-          <ipxact:displayName>My reference clock frequency might change</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_fractional_cout" type="int">
-          <ipxact:name>gui_fractional_cout</ipxact:name>
-          <ipxact:displayName>Fractional carry out</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_prot_mode" type="string">
-          <ipxact:name>gui_prot_mode</ipxact:name>
-          <ipxact:displayName>prot_mode</ipxact:displayName>
-          <ipxact:value>UNUSED</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_dsm_out_sel" type="string">
-          <ipxact:name>gui_dsm_out_sel</ipxact:name>
-          <ipxact:displayName>DSM Order</ipxact:displayName>
-          <ipxact:value>1st_order</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_use_locked" type="bit">
-          <ipxact:name>gui_use_locked</ipxact:name>
-          <ipxact:displayName>Enable locked output port</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_en_adv_params" type="bit">
-          <ipxact:name>gui_en_adv_params</ipxact:name>
-          <ipxact:displayName>Enable physical output clock parameters</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_bandwidth_preset" type="string">
-          <ipxact:name>gui_pll_bandwidth_preset</ipxact:name>
-          <ipxact:displayName>PLL Bandwidth Preset</ipxact:displayName>
-          <ipxact:value>Low</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_lock_setting" type="string">
-          <ipxact:name>gui_lock_setting</ipxact:name>
-          <ipxact:displayName>Lock Threshold Setting</ipxact:displayName>
-          <ipxact:value>Low Lock Time</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_auto_reset" type="bit">
-          <ipxact:name>gui_pll_auto_reset</ipxact:name>
-          <ipxact:displayName>PLL Auto Reset</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_en_lvds_ports" type="string">
-          <ipxact:name>gui_en_lvds_ports</ipxact:name>
-          <ipxact:displayName>Access to PLL LVDS_CLK/LOADEN output port</ipxact:displayName>
-          <ipxact:value>Disabled</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_operation_mode" type="string">
-          <ipxact:name>gui_operation_mode</ipxact:name>
-          <ipxact:displayName>Compensation Mode</ipxact:displayName>
-          <ipxact:value>source synchronous</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_feedback_clock" type="string">
-          <ipxact:name>gui_feedback_clock</ipxact:name>
-          <ipxact:displayName>Feedback Clock</ipxact:displayName>
-          <ipxact:value>Global Clock</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_to_compensate" type="int">
-          <ipxact:name>gui_clock_to_compensate</ipxact:name>
-          <ipxact:displayName>Compensated Outclk</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_use_NDFB_modes" type="bit">
-          <ipxact:name>gui_use_NDFB_modes</ipxact:name>
-          <ipxact:displayName>Use Nondedicated Feedback Path</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_refclk_switch" type="bit">
-          <ipxact:name>gui_refclk_switch</ipxact:name>
-          <ipxact:displayName>Create a second input clock signal 'refclk1'</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_refclk1_frequency" type="real">
-          <ipxact:name>gui_refclk1_frequency</ipxact:name>
-          <ipxact:displayName>Second Reference Clock Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_en_phout_ports" type="bit">
-          <ipxact:name>gui_en_phout_ports</ipxact:name>
-          <ipxact:displayName>Enable access to PLL DPA output port</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phout_division" type="int">
-          <ipxact:name>gui_phout_division</ipxact:name>
-          <ipxact:displayName>PLL DPA output division</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_en_extclkout_ports" type="bit">
-          <ipxact:name>gui_en_extclkout_ports</ipxact:name>
-          <ipxact:displayName>Enable access to PLL external clock output port</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_number_of_clocks" type="int">
-          <ipxact:name>gui_number_of_clocks</ipxact:name>
-          <ipxact:displayName>Number Of Clocks</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_multiply_factor" type="int">
-          <ipxact:name>gui_multiply_factor</ipxact:name>
-          <ipxact:displayName>Multiply Factor (M-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_n" type="int">
-          <ipxact:name>gui_divide_factor_n</ipxact:name>
-          <ipxact:displayName>Divide Factor (N-Counter)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_frac_multiply_factor" type="longint">
-          <ipxact:name>gui_frac_multiply_factor</ipxact:name>
-          <ipxact:displayName>Fractional Multiply Factor (K)</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_fix_vco_frequency" type="bit">
-          <ipxact:name>gui_fix_vco_frequency</ipxact:name>
-          <ipxact:displayName>Specify VCO frequency</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_fixed_vco_frequency" type="real">
-          <ipxact:name>gui_fixed_vco_frequency</ipxact:name>
-          <ipxact:displayName>Desired VCO Frequency</ipxact:displayName>
-          <ipxact:value>600.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_fixed_vco_frequency_ps" type="real">
-          <ipxact:name>gui_fixed_vco_frequency_ps</ipxact:name>
-          <ipxact:displayName>Desired VCO Frequency</ipxact:displayName>
-          <ipxact:value>1667.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_vco_frequency" type="string">
-          <ipxact:name>gui_vco_frequency</ipxact:name>
-          <ipxact:displayName>Actual VCO Frequency</ipxact:displayName>
-          <ipxact:value>600.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_enable_output_counter_cascading" type="bit">
-          <ipxact:name>gui_enable_output_counter_cascading</ipxact:name>
-          <ipxact:displayName>Enable output counter cascading</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_mif_gen_options" type="string">
-          <ipxact:name>gui_mif_gen_options</ipxact:name>
-          <ipxact:displayName>MIF Generation Options</ipxact:displayName>
-          <ipxact:value>Generate New MIF File</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_new_mif_file_path" type="string">
-          <ipxact:name>gui_new_mif_file_path</ipxact:name>
-          <ipxact:displayName>Path to New MIF file</ipxact:displayName>
-          <ipxact:value>~/pll.mif</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_existing_mif_file_path" type="string">
-          <ipxact:name>gui_existing_mif_file_path</ipxact:name>
-          <ipxact:displayName>Path to Existing MIF file</ipxact:displayName>
-          <ipxact:value>~/pll.mif</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_mif_config_name" type="string">
-          <ipxact:name>gui_mif_config_name</ipxact:name>
-          <ipxact:displayName>Name of Current Configuration</ipxact:displayName>
-          <ipxact:value>unnamed</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_active_clk" type="bit">
-          <ipxact:name>gui_active_clk</ipxact:name>
-          <ipxact:displayName>Create an 'active_clk' signal to indicate the input clock in use</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clk_bad" type="bit">
-          <ipxact:name>gui_clk_bad</ipxact:name>
-          <ipxact:displayName>Create a 'clkbad' signal for each of the input clocks</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_switchover_mode" type="string">
-          <ipxact:name>gui_switchover_mode</ipxact:name>
-          <ipxact:displayName>Switchover Mode</ipxact:displayName>
-          <ipxact:value>Automatic Switchover</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_switchover_delay" type="int">
-          <ipxact:name>gui_switchover_delay</ipxact:name>
-          <ipxact:displayName>Switchover Delay</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_enable_cascade_out" type="bit">
-          <ipxact:name>gui_enable_cascade_out</ipxact:name>
-          <ipxact:displayName>Create a 'cascade_out' signal to connect to a downstream PLL</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_outclk_index" type="string">
-          <ipxact:name>gui_cascade_outclk_index</ipxact:name>
-          <ipxact:displayName>cascade_out source</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_enable_cascade_in" type="bit">
-          <ipxact:name>gui_enable_cascade_in</ipxact:name>
-          <ipxact:displayName>Create an 'adjpllin' (cascade in) signal to connect to an upstream PLL through IO Column Cascading</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_enable_permit_cal" type="bit">
-          <ipxact:name>gui_enable_permit_cal</ipxact:name>
-          <ipxact:displayName>Connect to an upstream PLL through Core Clock Network Cascading (create a permit_cal input signal)</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_cascading_mode" type="string">
-          <ipxact:name>gui_pll_cascading_mode</ipxact:name>
-          <ipxact:displayName>Connection Signal Type to Upstream PLL</ipxact:displayName>
-          <ipxact:value>adjpllin</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_enable_mif_dps" type="bit">
-          <ipxact:name>gui_enable_mif_dps</ipxact:name>
-          <ipxact:displayName>Enable Dynamic Phase Shift for MIF streaming</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_dps_cntr" type="string">
-          <ipxact:name>gui_dps_cntr</ipxact:name>
-          <ipxact:displayName>DPS Counter Selection</ipxact:displayName>
-          <ipxact:value>C0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_dps_num" type="int">
-          <ipxact:name>gui_dps_num</ipxact:name>
-          <ipxact:displayName>Number of Dynamic Phase Shifts</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_dps_dir" type="string">
-          <ipxact:name>gui_dps_dir</ipxact:name>
-          <ipxact:displayName>Dynamic Phase Shift Direction</ipxact:displayName>
-          <ipxact:value>Positive</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_extclkout_0_source" type="string">
-          <ipxact:name>gui_extclkout_0_source</ipxact:name>
-          <ipxact:displayName>extclk_out[0] source</ipxact:displayName>
-          <ipxact:value>C0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_extclkout_1_source" type="string">
-          <ipxact:name>gui_extclkout_1_source</ipxact:name>
-          <ipxact:displayName>extclk_out[1] source</ipxact:displayName>
-          <ipxact:value>C0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_global" type="bit">
-          <ipxact:name>gui_clock_name_global</ipxact:name>
-          <ipxact:displayName>Give clocks global names</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string0" type="string">
-          <ipxact:name>gui_clock_name_string0</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>link_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string1" type="string">
-          <ipxact:name>gui_clock_name_string1</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>frame_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string2" type="string">
-          <ipxact:name>gui_clock_name_string2</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string3" type="string">
-          <ipxact:name>gui_clock_name_string3</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk3</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string4" type="string">
-          <ipxact:name>gui_clock_name_string4</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string5" type="string">
-          <ipxact:name>gui_clock_name_string5</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk5</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string6" type="string">
-          <ipxact:name>gui_clock_name_string6</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string7" type="string">
-          <ipxact:name>gui_clock_name_string7</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string8" type="string">
-          <ipxact:name>gui_clock_name_string8</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string9" type="string">
-          <ipxact:name>gui_clock_name_string9</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk9</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string10" type="string">
-          <ipxact:name>gui_clock_name_string10</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string11" type="string">
-          <ipxact:name>gui_clock_name_string11</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk11</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string12" type="string">
-          <ipxact:name>gui_clock_name_string12</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk12</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string13" type="string">
-          <ipxact:name>gui_clock_name_string13</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk13</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string14" type="string">
-          <ipxact:name>gui_clock_name_string14</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk14</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string15" type="string">
-          <ipxact:name>gui_clock_name_string15</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk15</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string16" type="string">
-          <ipxact:name>gui_clock_name_string16</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk16</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_clock_name_string17" type="string">
-          <ipxact:name>gui_clock_name_string17</ipxact:name>
-          <ipxact:displayName>Clock Name</ipxact:displayName>
-          <ipxact:value>outclk17</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c0" type="int">
-          <ipxact:name>gui_divide_factor_c0</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c1" type="int">
-          <ipxact:name>gui_divide_factor_c1</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c2" type="int">
-          <ipxact:name>gui_divide_factor_c2</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c3" type="int">
-          <ipxact:name>gui_divide_factor_c3</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c4" type="int">
-          <ipxact:name>gui_divide_factor_c4</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c5" type="int">
-          <ipxact:name>gui_divide_factor_c5</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c6" type="int">
-          <ipxact:name>gui_divide_factor_c6</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c7" type="int">
-          <ipxact:name>gui_divide_factor_c7</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c8" type="int">
-          <ipxact:name>gui_divide_factor_c8</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c9" type="int">
-          <ipxact:name>gui_divide_factor_c9</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c10" type="int">
-          <ipxact:name>gui_divide_factor_c10</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c11" type="int">
-          <ipxact:name>gui_divide_factor_c11</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c12" type="int">
-          <ipxact:name>gui_divide_factor_c12</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c13" type="int">
-          <ipxact:name>gui_divide_factor_c13</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c14" type="int">
-          <ipxact:name>gui_divide_factor_c14</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c15" type="int">
-          <ipxact:name>gui_divide_factor_c15</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c16" type="int">
-          <ipxact:name>gui_divide_factor_c16</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_divide_factor_c17" type="int">
-          <ipxact:name>gui_divide_factor_c17</ipxact:name>
-          <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter0" type="bit">
-          <ipxact:name>gui_cascade_counter0</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter1" type="bit">
-          <ipxact:name>gui_cascade_counter1</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter2" type="bit">
-          <ipxact:name>gui_cascade_counter2</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter3" type="bit">
-          <ipxact:name>gui_cascade_counter3</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter4" type="bit">
-          <ipxact:name>gui_cascade_counter4</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter5" type="bit">
-          <ipxact:name>gui_cascade_counter5</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter6" type="bit">
-          <ipxact:name>gui_cascade_counter6</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter7" type="bit">
-          <ipxact:name>gui_cascade_counter7</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter8" type="bit">
-          <ipxact:name>gui_cascade_counter8</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter9" type="bit">
-          <ipxact:name>gui_cascade_counter9</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter10" type="bit">
-          <ipxact:name>gui_cascade_counter10</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter11" type="bit">
-          <ipxact:name>gui_cascade_counter11</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter12" type="bit">
-          <ipxact:name>gui_cascade_counter12</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter13" type="bit">
-          <ipxact:name>gui_cascade_counter13</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter14" type="bit">
-          <ipxact:name>gui_cascade_counter14</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter15" type="bit">
-          <ipxact:name>gui_cascade_counter15</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter16" type="bit">
-          <ipxact:name>gui_cascade_counter16</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_cascade_counter17" type="bit">
-          <ipxact:name>gui_cascade_counter17</ipxact:name>
-          <ipxact:displayName>Make this a cascade counter</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency0" type="real">
-          <ipxact:name>gui_output_clock_frequency0</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency1" type="real">
-          <ipxact:name>gui_output_clock_frequency1</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>200.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency2" type="real">
-          <ipxact:name>gui_output_clock_frequency2</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency3" type="real">
-          <ipxact:name>gui_output_clock_frequency3</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency4" type="real">
-          <ipxact:name>gui_output_clock_frequency4</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency5" type="real">
-          <ipxact:name>gui_output_clock_frequency5</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency6" type="real">
-          <ipxact:name>gui_output_clock_frequency6</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency7" type="real">
-          <ipxact:name>gui_output_clock_frequency7</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency8" type="real">
-          <ipxact:name>gui_output_clock_frequency8</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency9" type="real">
-          <ipxact:name>gui_output_clock_frequency9</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency10" type="real">
-          <ipxact:name>gui_output_clock_frequency10</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency11" type="real">
-          <ipxact:name>gui_output_clock_frequency11</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency12" type="real">
-          <ipxact:name>gui_output_clock_frequency12</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency13" type="real">
-          <ipxact:name>gui_output_clock_frequency13</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency14" type="real">
-          <ipxact:name>gui_output_clock_frequency14</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency15" type="real">
-          <ipxact:name>gui_output_clock_frequency15</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency16" type="real">
-          <ipxact:name>gui_output_clock_frequency16</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency17" type="real">
-          <ipxact:name>gui_output_clock_frequency17</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps0" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps0</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps1" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps1</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>5000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps2" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps2</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps3" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps3</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps4" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps4</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps5" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps5</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps6" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps6</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps7" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps7</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps8" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps8</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps9" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps9</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps10" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps10</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps11" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps11</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps12" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps12</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps13" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps13</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps14" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps14</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps15" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps15</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps16" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps16</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_output_clock_frequency_ps17" type="real">
-          <ipxact:name>gui_output_clock_frequency_ps17</ipxact:name>
-          <ipxact:displayName>Desired Frequency</ipxact:displayName>
-          <ipxact:value>10000.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency0" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency0</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency1" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency1</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>200.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency2" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency2</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency3" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency3</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency4" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency4</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency5" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency5</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency6" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency6</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency7" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency7</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency8" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency8</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency9" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency9</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency10" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency10</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency11" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency11</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency12" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency12</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency13" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency13</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency14" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency14</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency15" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency15</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency16" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency16</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency17" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency17</ipxact:name>
-          <ipxact:displayName>Actual Frequency</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range0" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range0</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>99.595142,99.607843,99.649123,100.0,100.350877,100.392157</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range1" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range1</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>183.333333,185.714286,187.5,200.0,214.285714,216.666667</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range2" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range2</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range3" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range3</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range4" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range4</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range5" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range5</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range6" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range6</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range7" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range7</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range8" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range8</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range9" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range9</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range10" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range10</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range11" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range11</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range12" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range12</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range13" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range13</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range14" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range14</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range15" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range15</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range16" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range16</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range17" type="string">
-          <ipxact:name>gui_actual_output_clock_frequency_range17</ipxact:name>
-          <ipxact:displayName>Legal Frequencies</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units0" type="string">
-          <ipxact:name>gui_ps_units0</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units1" type="string">
-          <ipxact:name>gui_ps_units1</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units2" type="string">
-          <ipxact:name>gui_ps_units2</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units3" type="string">
-          <ipxact:name>gui_ps_units3</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units4" type="string">
-          <ipxact:name>gui_ps_units4</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units5" type="string">
-          <ipxact:name>gui_ps_units5</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units6" type="string">
-          <ipxact:name>gui_ps_units6</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units7" type="string">
-          <ipxact:name>gui_ps_units7</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units8" type="string">
-          <ipxact:name>gui_ps_units8</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units9" type="string">
-          <ipxact:name>gui_ps_units9</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units10" type="string">
-          <ipxact:name>gui_ps_units10</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units11" type="string">
-          <ipxact:name>gui_ps_units11</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units12" type="string">
-          <ipxact:name>gui_ps_units12</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units13" type="string">
-          <ipxact:name>gui_ps_units13</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units14" type="string">
-          <ipxact:name>gui_ps_units14</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units15" type="string">
-          <ipxact:name>gui_ps_units15</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units16" type="string">
-          <ipxact:name>gui_ps_units16</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_ps_units17" type="string">
-          <ipxact:name>gui_ps_units17</ipxact:name>
-          <ipxact:displayName>Phase Shift Units</ipxact:displayName>
-          <ipxact:value>ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift0" type="real">
-          <ipxact:name>gui_phase_shift0</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift1" type="real">
-          <ipxact:name>gui_phase_shift1</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift2" type="real">
-          <ipxact:name>gui_phase_shift2</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift3" type="real">
-          <ipxact:name>gui_phase_shift3</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift4" type="real">
-          <ipxact:name>gui_phase_shift4</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift5" type="real">
-          <ipxact:name>gui_phase_shift5</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift6" type="real">
-          <ipxact:name>gui_phase_shift6</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift7" type="real">
-          <ipxact:name>gui_phase_shift7</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift8" type="real">
-          <ipxact:name>gui_phase_shift8</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift9" type="real">
-          <ipxact:name>gui_phase_shift9</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift10" type="real">
-          <ipxact:name>gui_phase_shift10</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift11" type="real">
-          <ipxact:name>gui_phase_shift11</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift12" type="real">
-          <ipxact:name>gui_phase_shift12</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift13" type="real">
-          <ipxact:name>gui_phase_shift13</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift14" type="real">
-          <ipxact:name>gui_phase_shift14</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift15" type="real">
-          <ipxact:name>gui_phase_shift15</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift16" type="real">
-          <ipxact:name>gui_phase_shift16</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift17" type="real">
-          <ipxact:name>gui_phase_shift17</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg0" type="real">
-          <ipxact:name>gui_phase_shift_deg0</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg1" type="real">
-          <ipxact:name>gui_phase_shift_deg1</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg2" type="real">
-          <ipxact:name>gui_phase_shift_deg2</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg3" type="real">
-          <ipxact:name>gui_phase_shift_deg3</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg4" type="real">
-          <ipxact:name>gui_phase_shift_deg4</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg5" type="real">
-          <ipxact:name>gui_phase_shift_deg5</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg6" type="real">
-          <ipxact:name>gui_phase_shift_deg6</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg7" type="real">
-          <ipxact:name>gui_phase_shift_deg7</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg8" type="real">
-          <ipxact:name>gui_phase_shift_deg8</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg9" type="real">
-          <ipxact:name>gui_phase_shift_deg9</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg10" type="real">
-          <ipxact:name>gui_phase_shift_deg10</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg11" type="real">
-          <ipxact:name>gui_phase_shift_deg11</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg12" type="real">
-          <ipxact:name>gui_phase_shift_deg12</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg13" type="real">
-          <ipxact:name>gui_phase_shift_deg13</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg14" type="real">
-          <ipxact:name>gui_phase_shift_deg14</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg15" type="real">
-          <ipxact:name>gui_phase_shift_deg15</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg16" type="real">
-          <ipxact:name>gui_phase_shift_deg16</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_phase_shift_deg17" type="real">
-          <ipxact:name>gui_phase_shift_deg17</ipxact:name>
-          <ipxact:displayName>Desired Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift0" type="string">
-          <ipxact:name>gui_actual_phase_shift0</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift1" type="string">
-          <ipxact:name>gui_actual_phase_shift1</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift2" type="string">
-          <ipxact:name>gui_actual_phase_shift2</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift3" type="string">
-          <ipxact:name>gui_actual_phase_shift3</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift4" type="string">
-          <ipxact:name>gui_actual_phase_shift4</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift5" type="string">
-          <ipxact:name>gui_actual_phase_shift5</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift6" type="string">
-          <ipxact:name>gui_actual_phase_shift6</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift7" type="string">
-          <ipxact:name>gui_actual_phase_shift7</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift8" type="string">
-          <ipxact:name>gui_actual_phase_shift8</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift9" type="string">
-          <ipxact:name>gui_actual_phase_shift9</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift10" type="string">
-          <ipxact:name>gui_actual_phase_shift10</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift11" type="string">
-          <ipxact:name>gui_actual_phase_shift11</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift12" type="string">
-          <ipxact:name>gui_actual_phase_shift12</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift13" type="string">
-          <ipxact:name>gui_actual_phase_shift13</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift14" type="string">
-          <ipxact:name>gui_actual_phase_shift14</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift15" type="string">
-          <ipxact:name>gui_actual_phase_shift15</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift16" type="string">
-          <ipxact:name>gui_actual_phase_shift16</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift17" type="string">
-          <ipxact:name>gui_actual_phase_shift17</ipxact:name>
-          <ipxact:displayName>Actual phase shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range0" type="string">
-          <ipxact:name>gui_actual_phase_shift_range0</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0,78.1,89.3,104.2,125.0,156.2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range1" type="string">
-          <ipxact:name>gui_actual_phase_shift_range1</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0,78.1,89.3,104.2,125.0,156.2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range2" type="string">
-          <ipxact:name>gui_actual_phase_shift_range2</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range3" type="string">
-          <ipxact:name>gui_actual_phase_shift_range3</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range4" type="string">
-          <ipxact:name>gui_actual_phase_shift_range4</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range5" type="string">
-          <ipxact:name>gui_actual_phase_shift_range5</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range6" type="string">
-          <ipxact:name>gui_actual_phase_shift_range6</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range7" type="string">
-          <ipxact:name>gui_actual_phase_shift_range7</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range8" type="string">
-          <ipxact:name>gui_actual_phase_shift_range8</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range9" type="string">
-          <ipxact:name>gui_actual_phase_shift_range9</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range10" type="string">
-          <ipxact:name>gui_actual_phase_shift_range10</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range11" type="string">
-          <ipxact:name>gui_actual_phase_shift_range11</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range12" type="string">
-          <ipxact:name>gui_actual_phase_shift_range12</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range13" type="string">
-          <ipxact:name>gui_actual_phase_shift_range13</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range14" type="string">
-          <ipxact:name>gui_actual_phase_shift_range14</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range15" type="string">
-          <ipxact:name>gui_actual_phase_shift_range15</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range16" type="string">
-          <ipxact:name>gui_actual_phase_shift_range16</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_range17" type="string">
-          <ipxact:name>gui_actual_phase_shift_range17</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg0" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg0</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg1" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg1</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg2" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg2</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg3" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg3</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg4" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg4</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg5" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg5</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg6" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg6</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg7" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg7</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg8" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg8</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg9" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg9</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg10" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg10</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg11" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg11</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg12" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg12</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg13" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg13</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg14" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg14</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg15" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg15</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg16" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg16</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg17" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg17</ipxact:name>
-          <ipxact:displayName>Actual Phase Shift</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range0" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range0</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0,2.8,3.2,3.8,4.5,5.6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range1" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range1</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0,5.6,6.4,7.5,9.0,11.2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range2" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range2</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range3" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range3</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range4" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range4</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range5" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range5</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range6" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range6</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range7" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range7</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range8" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range8</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range9" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range9</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range10" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range10</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range11" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range11</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range12" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range12</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range13" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range13</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range14" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range14</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range15" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range15</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range16" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range16</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range17" type="string">
-          <ipxact:name>gui_actual_phase_shift_deg_range17</ipxact:name>
-          <ipxact:displayName>Legal Phase Shifts</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle0" type="real">
-          <ipxact:name>gui_duty_cycle0</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle1" type="real">
-          <ipxact:name>gui_duty_cycle1</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle2" type="real">
-          <ipxact:name>gui_duty_cycle2</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle3" type="real">
-          <ipxact:name>gui_duty_cycle3</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle4" type="real">
-          <ipxact:name>gui_duty_cycle4</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle5" type="real">
-          <ipxact:name>gui_duty_cycle5</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle6" type="real">
-          <ipxact:name>gui_duty_cycle6</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle7" type="real">
-          <ipxact:name>gui_duty_cycle7</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle8" type="real">
-          <ipxact:name>gui_duty_cycle8</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle9" type="real">
-          <ipxact:name>gui_duty_cycle9</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle10" type="real">
-          <ipxact:name>gui_duty_cycle10</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle11" type="real">
-          <ipxact:name>gui_duty_cycle11</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle12" type="real">
-          <ipxact:name>gui_duty_cycle12</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle13" type="real">
-          <ipxact:name>gui_duty_cycle13</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle14" type="real">
-          <ipxact:name>gui_duty_cycle14</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle15" type="real">
-          <ipxact:name>gui_duty_cycle15</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle16" type="real">
-          <ipxact:name>gui_duty_cycle16</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_duty_cycle17" type="real">
-          <ipxact:name>gui_duty_cycle17</ipxact:name>
-          <ipxact:displayName>Desired Duty Cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle0" type="string">
-          <ipxact:name>gui_actual_duty_cycle0</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle1" type="string">
-          <ipxact:name>gui_actual_duty_cycle1</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle2" type="string">
-          <ipxact:name>gui_actual_duty_cycle2</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle3" type="string">
-          <ipxact:name>gui_actual_duty_cycle3</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle4" type="string">
-          <ipxact:name>gui_actual_duty_cycle4</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle5" type="string">
-          <ipxact:name>gui_actual_duty_cycle5</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle6" type="string">
-          <ipxact:name>gui_actual_duty_cycle6</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle7" type="string">
-          <ipxact:name>gui_actual_duty_cycle7</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle8" type="string">
-          <ipxact:name>gui_actual_duty_cycle8</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle9" type="string">
-          <ipxact:name>gui_actual_duty_cycle9</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle10" type="string">
-          <ipxact:name>gui_actual_duty_cycle10</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle11" type="string">
-          <ipxact:name>gui_actual_duty_cycle11</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle12" type="string">
-          <ipxact:name>gui_actual_duty_cycle12</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle13" type="string">
-          <ipxact:name>gui_actual_duty_cycle13</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle14" type="string">
-          <ipxact:name>gui_actual_duty_cycle14</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle15" type="string">
-          <ipxact:name>gui_actual_duty_cycle15</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle16" type="string">
-          <ipxact:name>gui_actual_duty_cycle16</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle17" type="string">
-          <ipxact:name>gui_actual_duty_cycle17</ipxact:name>
-          <ipxact:displayName>Actual duty cycle</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range0" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range0</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>45.83,46.43,46.88,50.0,53.12,53.57</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range1" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range1</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>41.67,42.86,43.75,50.0,56.25,57.14</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range2" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range2</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range3" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range3</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range4" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range4</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range5" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range5</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range6" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range6</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range7" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range7</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range8" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range8</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range9" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range9</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range10" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range10</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range11" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range11</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range12" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range12</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range13" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range13</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range14" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range14</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range15" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range15</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range16" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range16</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_actual_duty_cycle_range17" type="string">
-          <ipxact:name>gui_actual_duty_cycle_range17</ipxact:name>
-          <ipxact:displayName>Legal Duty Cycles</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="parameterTable_names" type="string">
-          <ipxact:name>parameterTable_names</ipxact:name>
-          <ipxact:displayName>Parameter Names</ipxact:displayName>
-          <ipxact:value>M-Counter Divide Setting,N-Counter Divide Setting,VCO Frequency,C-Counter-0 Divide Setting,C-Counter-1 Divide Setting,C-Counter-2 Divide Setting,C-Counter-3 Divide Setting,C-Counter-4 Divide Setting,C-Counter-5 Divide Setting,C-Counter-6 Divide Setting,C-Counter-7 Divide Setting,C-Counter-8 Divide Setting,PLL Auto Reset,M-Counter Hi Divide,M-Counter Lo Divide,M-Counter Even Duty Enable,M-Counter Bypass Enable,N-Counter Hi Divide,N-Counter Lo Divide,N-Counter Even Duty Enable,N-Counter Bypass Enable,C-Counter-0 Hi Divide,C-Counter-1 Hi Divide,C-Counter-2 Hi Divide,C-Counter-3 Hi Divide,C-Counter-4 Hi Divide,C-Counter-5 Hi Divide,C-Counter-6 Hi Divide,C-Counter-7 Hi Divide,C-Counter-8 Hi Divide,C-Counter-0 Lo Divide,C-Counter-1 Lo Divide,C-Counter-2 Lo Divide,C-Counter-3 Lo Divide,C-Counter-4 Lo Divide,C-Counter-5 Lo Divide,C-Counter-6 Lo Divide,C-Counter-7 Lo Divide,C-Counter-8 Lo Divide,C-Counter-0 Even Duty Enable,C-Counter-1 Even Duty Enable,C-Counter-2 Even Duty Enable,C-Counter-3 Even Duty Enable,C-Counter-4 Even Duty Enable,C-Counter-5 Even Duty Enable,C-Counter-6 Even Duty Enable,C-Counter-7 Even Duty Enable,C-Counter-8 Even Duty Enable,C-Counter-0 Bypass Enable,C-Counter-1 Bypass Enable,C-Counter-2 Bypass Enable,C-Counter-3 Bypass Enable,C-Counter-4 Bypass Enable,C-Counter-5 Bypass Enable,C-Counter-6 Bypass Enable,C-Counter-7 Bypass Enable,C-Counter-8 Bypass Enable,C-Counter-0 Preset,C-Counter-1 Preset,C-Counter-2 Preset,C-Counter-3 Preset,C-Counter-4 Preset,C-Counter-5 Preset,C-Counter-6 Preset,C-Counter-7 Preset,C-Counter-8 Preset,C-Counter-0 Phase Mux Preset,C-Counter-1 Phase Mux Preset,C-Counter-2 Phase Mux Preset,C-Counter-3 Phase Mux Preset,C-Counter-4 Phase Mux Preset,C-Counter-5 Phase Mux Preset,C-Counter-6 Phase Mux Preset,C-Counter-7 Phase Mux Preset,C-Counter-8 Phase Mux Preset,Charge Pump Current,Bandwidth Control</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="parameterTable_values" type="string">
-          <ipxact:name>parameterTable_values</ipxact:name>
-          <ipxact:displayName>Parameter Values</ipxact:displayName>
-          <ipxact:value>4,1,800.0 MHz,8,4,1,1,1,1,1,1,1,false,2,2,false,false,256,256,false,true,4,2,256,256,256,256,256,256,256,4,2,256,256,256,256,256,256,256,false,false,false,false,false,false,false,false,false,false,false,true,true,true,true,true,true,true,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,pll_cp_setting10,pll_bw_res_setting2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mifTable_names" type="string">
-          <ipxact:name>mifTable_names</ipxact:name>
-          <ipxact:displayName>MIF File Property</ipxact:displayName>
-          <ipxact:value>The MIF file specified does not yet exist</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mifTable_values" type="string">
-          <ipxact:name>mifTable_values</ipxact:name>
-          <ipxact:displayName>Values</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_m_cnt_basic" type="int">
-          <ipxact:name>pll_m_cnt_basic</ipxact:name>
-          <ipxact:displayName>pll_m_cnt_basic</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_m_cnt" type="int">
-          <ipxact:name>pll_m_cnt</ipxact:name>
-          <ipxact:displayName>pll_m_cnt</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prot_mode" type="string">
-          <ipxact:name>prot_mode</ipxact:name>
-          <ipxact:displayName>prot_mode</ipxact:displayName>
-          <ipxact:value>BASIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="m_cnt_hi_div" type="int">
-          <ipxact:name>m_cnt_hi_div</ipxact:name>
-          <ipxact:displayName>m_cnt_hi_div</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="eff_m_cnt" type="int">
-          <ipxact:name>eff_m_cnt</ipxact:name>
-          <ipxact:displayName>eff_m_cnt</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="multiply_factor" type="int">
-          <ipxact:name>multiply_factor</ipxact:name>
-          <ipxact:displayName>multiply_factor</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="use_core_refclk" type="bit">
-          <ipxact:name>use_core_refclk</ipxact:name>
-          <ipxact:displayName>use_core_refclk</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="m_cnt_lo_div" type="int">
-          <ipxact:name>m_cnt_lo_div</ipxact:name>
-          <ipxact:displayName>m_cnt_lo_div</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="n_cnt_hi_div" type="int">
-          <ipxact:name>n_cnt_hi_div</ipxact:name>
-          <ipxact:displayName>n_cnt_hi_div</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="n_cnt_lo_div" type="int">
-          <ipxact:name>n_cnt_lo_div</ipxact:name>
-          <ipxact:displayName>n_cnt_lo_div</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="m_cnt_bypass_en" type="bit">
-          <ipxact:name>m_cnt_bypass_en</ipxact:name>
-          <ipxact:displayName>m_cnt_bypass_en</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="n_cnt_bypass_en" type="bit">
-          <ipxact:name>n_cnt_bypass_en</ipxact:name>
-          <ipxact:displayName>n_cnt_bypass_en</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="m_cnt_odd_div_duty_en" type="bit">
-          <ipxact:name>m_cnt_odd_div_duty_en</ipxact:name>
-          <ipxact:displayName>m_cnt_odd_div_duty_en</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="n_cnt_odd_div_duty_en" type="bit">
-          <ipxact:name>n_cnt_odd_div_duty_en</ipxact:name>
-          <ipxact:displayName>n_cnt_odd_div_duty_en</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_vco_div" type="int">
-          <ipxact:name>pll_vco_div</ipxact:name>
-          <ipxact:displayName>pll_vco_div</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_cp_current" type="string">
-          <ipxact:name>pll_cp_current</ipxact:name>
-          <ipxact:displayName>pll_cp_current</ipxact:displayName>
-          <ipxact:value>pll_cp_setting10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_bwctrl" type="string">
-          <ipxact:name>pll_bwctrl</ipxact:name>
-          <ipxact:displayName>pll_bwctrl</ipxact:displayName>
-          <ipxact:value>pll_bw_res_setting2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_ripplecap_ctrl" type="string">
-          <ipxact:name>pll_ripplecap_ctrl</ipxact:name>
-          <ipxact:displayName>pll_ripplecap_ctrl</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_fractional_division" type="int">
-          <ipxact:name>pll_fractional_division</ipxact:name>
-          <ipxact:displayName>pll_fractional_division</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="fractional_vco_multiplier" type="bit">
-          <ipxact:name>fractional_vco_multiplier</ipxact:name>
-          <ipxact:displayName>fractional_vco_multiplier</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="reference_clock_frequency" type="string">
-          <ipxact:name>reference_clock_frequency</ipxact:name>
-          <ipxact:displayName>reference_clock_frequency</ipxact:displayName>
-          <ipxact:value>200.0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_fractional_cout" type="int">
-          <ipxact:name>pll_fractional_cout</ipxact:name>
-          <ipxact:displayName>pll_fractional_cout</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_dsm_out_sel" type="string">
-          <ipxact:name>pll_dsm_out_sel</ipxact:name>
-          <ipxact:displayName>pll_dsm_out_sel</ipxact:displayName>
-          <ipxact:value>1st_order</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="operation_mode" type="string">
-          <ipxact:name>operation_mode</ipxact:name>
-          <ipxact:displayName>operation_mode</ipxact:displayName>
-          <ipxact:value>source_synchronous</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="number_of_clocks" type="int">
-          <ipxact:name>number_of_clocks</ipxact:name>
-          <ipxact:displayName>number_of_clocks</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="number_of_outclks" type="int">
-          <ipxact:name>number_of_outclks</ipxact:name>
-          <ipxact:displayName>number_of_outclks</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_vcoph_div" type="int">
-          <ipxact:name>pll_vcoph_div</ipxact:name>
-          <ipxact:displayName>pll_vcoph_div</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_type" type="string">
-          <ipxact:name>pll_type</ipxact:name>
-          <ipxact:displayName>pll_type</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_subtype" type="string">
-          <ipxact:name>pll_subtype</ipxact:name>
-          <ipxact:displayName>pll_subtype</ipxact:displayName>
-          <ipxact:value>General</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_output_clk_frequency" type="string">
-          <ipxact:name>pll_output_clk_frequency</ipxact:name>
-          <ipxact:displayName>pll_output_clk_frequency</ipxact:displayName>
-          <ipxact:value>800.0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_pfd_frequency" type="string">
-          <ipxact:name>pll_pfd_frequency</ipxact:name>
-          <ipxact:displayName>pll_pfd_frequency</ipxact:displayName>
-          <ipxact:value>200.0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="mimic_fbclk_type" type="string">
-          <ipxact:name>mimic_fbclk_type</ipxact:name>
-          <ipxact:displayName>mimic_fbclk_type</ipxact:displayName>
-          <ipxact:value>gclk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_bw_sel" type="string">
-          <ipxact:name>pll_bw_sel</ipxact:name>
-          <ipxact:displayName>pll_bw_sel</ipxact:displayName>
-          <ipxact:value>Low</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_slf_rst" type="bit">
-          <ipxact:name>pll_slf_rst</ipxact:name>
-          <ipxact:displayName>pll_slf_rst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_fbclk_mux_1" type="string">
-          <ipxact:name>pll_fbclk_mux_1</ipxact:name>
-          <ipxact:displayName>pll_fbclk_mux_1</ipxact:displayName>
-          <ipxact:value>pll_fbclk_mux_1_glb</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_fbclk_mux_2" type="string">
-          <ipxact:name>pll_fbclk_mux_2</ipxact:name>
-          <ipxact:displayName>pll_fbclk_mux_2</ipxact:displayName>
-          <ipxact:value>pll_fbclk_mux_2_fb_1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_m_cnt_in_src" type="string">
-          <ipxact:name>pll_m_cnt_in_src</ipxact:name>
-          <ipxact:displayName>pll_m_cnt_in_src</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_clkin_0_src" type="string">
-          <ipxact:name>pll_clkin_0_src</ipxact:name>
-          <ipxact:displayName>pll_clkin_0_src</ipxact:displayName>
-          <ipxact:value>clk_0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="refclk1_frequency" type="string">
-          <ipxact:name>refclk1_frequency</ipxact:name>
-          <ipxact:displayName>refclk1_frequency</ipxact:displayName>
-          <ipxact:value>100.0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_clk_loss_sw_en" type="bit">
-          <ipxact:name>pll_clk_loss_sw_en</ipxact:name>
-          <ipxact:displayName>pll_clk_loss_sw_en</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_manu_clk_sw_en" type="bit">
-          <ipxact:name>pll_manu_clk_sw_en</ipxact:name>
-          <ipxact:displayName>pll_manu_clk_sw_en</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_auto_clk_sw_en" type="bit">
-          <ipxact:name>pll_auto_clk_sw_en</ipxact:name>
-          <ipxact:displayName>pll_auto_clk_sw_en</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_clkin_1_src" type="string">
-          <ipxact:name>pll_clkin_1_src</ipxact:name>
-          <ipxact:displayName>pll_clkin_1_src</ipxact:displayName>
-          <ipxact:value>clk_0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_clk_sw_dly" type="int">
-          <ipxact:name>pll_clk_sw_dly</ipxact:name>
-          <ipxact:displayName>pll_clk_sw_dly</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_extclk_0_cnt_src" type="string">
-          <ipxact:name>pll_extclk_0_cnt_src</ipxact:name>
-          <ipxact:displayName>pll_extclk_0_cnt_src</ipxact:displayName>
-          <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_extclk_1_cnt_src" type="string">
-          <ipxact:name>pll_extclk_1_cnt_src</ipxact:name>
-          <ipxact:displayName>pll_extclk_1_cnt_src</ipxact:displayName>
-          <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_lock_fltr_cfg" type="int">
-          <ipxact:name>pll_lock_fltr_cfg</ipxact:name>
-          <ipxact:displayName>pll_lock_fltr_cfg</ipxact:displayName>
-          <ipxact:value>100</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_unlock_fltr_cfg" type="int">
-          <ipxact:name>pll_unlock_fltr_cfg</ipxact:name>
-          <ipxact:displayName>pll_unlock_fltr_cfg</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lock_mode" type="string">
-          <ipxact:name>lock_mode</ipxact:name>
-          <ipxact:displayName>lock_mode</ipxact:displayName>
-          <ipxact:value>low_lock_time</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_to_compensate" type="int">
-          <ipxact:name>clock_to_compensate</ipxact:name>
-          <ipxact:displayName>clock_to_compensate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global" type="bit">
-          <ipxact:name>clock_name_global</ipxact:name>
-          <ipxact:displayName>clock_name_global</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_freqcal_en" type="bit">
-          <ipxact:name>pll_freqcal_en</ipxact:name>
-          <ipxact:displayName>pll_freqcal_en</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_defer_cal_user_mode" type="bit">
-          <ipxact:name>pll_defer_cal_user_mode</ipxact:name>
-          <ipxact:displayName>pll_defer_cal_user_mode</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="dprio_interface_sel" type="int">
-          <ipxact:name>dprio_interface_sel</ipxact:name>
-          <ipxact:displayName>dprio_interface_sel</ipxact:displayName>
-          <ipxact:value>3</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="merging_permitted" type="bit">
-          <ipxact:name>merging_permitted</ipxact:name>
-          <ipxact:displayName>merging_permitted</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div0" type="int">
-          <ipxact:name>c_cnt_hi_div0</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div0</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div1" type="int">
-          <ipxact:name>c_cnt_hi_div1</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div1</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div2" type="int">
-          <ipxact:name>c_cnt_hi_div2</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div2</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div3" type="int">
-          <ipxact:name>c_cnt_hi_div3</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div3</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div4" type="int">
-          <ipxact:name>c_cnt_hi_div4</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div4</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div5" type="int">
-          <ipxact:name>c_cnt_hi_div5</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div5</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div6" type="int">
-          <ipxact:name>c_cnt_hi_div6</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div6</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div7" type="int">
-          <ipxact:name>c_cnt_hi_div7</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div7</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div8" type="int">
-          <ipxact:name>c_cnt_hi_div8</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div8</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div9" type="int">
-          <ipxact:name>c_cnt_hi_div9</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div9</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div10" type="int">
-          <ipxact:name>c_cnt_hi_div10</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div10</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div11" type="int">
-          <ipxact:name>c_cnt_hi_div11</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div11</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div12" type="int">
-          <ipxact:name>c_cnt_hi_div12</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div12</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div13" type="int">
-          <ipxact:name>c_cnt_hi_div13</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div13</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div14" type="int">
-          <ipxact:name>c_cnt_hi_div14</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div14</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div15" type="int">
-          <ipxact:name>c_cnt_hi_div15</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div15</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div16" type="int">
-          <ipxact:name>c_cnt_hi_div16</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div16</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_hi_div17" type="int">
-          <ipxact:name>c_cnt_hi_div17</ipxact:name>
-          <ipxact:displayName>c_cnt_hi_div17</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div0" type="int">
-          <ipxact:name>c_cnt_lo_div0</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div0</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div1" type="int">
-          <ipxact:name>c_cnt_lo_div1</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div1</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div2" type="int">
-          <ipxact:name>c_cnt_lo_div2</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div2</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div3" type="int">
-          <ipxact:name>c_cnt_lo_div3</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div3</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div4" type="int">
-          <ipxact:name>c_cnt_lo_div4</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div4</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div5" type="int">
-          <ipxact:name>c_cnt_lo_div5</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div5</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div6" type="int">
-          <ipxact:name>c_cnt_lo_div6</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div6</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div7" type="int">
-          <ipxact:name>c_cnt_lo_div7</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div7</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div8" type="int">
-          <ipxact:name>c_cnt_lo_div8</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div8</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div9" type="int">
-          <ipxact:name>c_cnt_lo_div9</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div9</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div10" type="int">
-          <ipxact:name>c_cnt_lo_div10</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div10</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div11" type="int">
-          <ipxact:name>c_cnt_lo_div11</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div11</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div12" type="int">
-          <ipxact:name>c_cnt_lo_div12</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div12</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div13" type="int">
-          <ipxact:name>c_cnt_lo_div13</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div13</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div14" type="int">
-          <ipxact:name>c_cnt_lo_div14</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div14</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div15" type="int">
-          <ipxact:name>c_cnt_lo_div15</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div15</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div16" type="int">
-          <ipxact:name>c_cnt_lo_div16</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div16</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_lo_div17" type="int">
-          <ipxact:name>c_cnt_lo_div17</ipxact:name>
-          <ipxact:displayName>c_cnt_lo_div17</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst0" type="int">
-          <ipxact:name>c_cnt_prst0</ipxact:name>
-          <ipxact:displayName>c_cnt_prst0</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst1" type="int">
-          <ipxact:name>c_cnt_prst1</ipxact:name>
-          <ipxact:displayName>c_cnt_prst1</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst2" type="int">
-          <ipxact:name>c_cnt_prst2</ipxact:name>
-          <ipxact:displayName>c_cnt_prst2</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst3" type="int">
-          <ipxact:name>c_cnt_prst3</ipxact:name>
-          <ipxact:displayName>c_cnt_prst3</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst4" type="int">
-          <ipxact:name>c_cnt_prst4</ipxact:name>
-          <ipxact:displayName>c_cnt_prst4</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst5" type="int">
-          <ipxact:name>c_cnt_prst5</ipxact:name>
-          <ipxact:displayName>c_cnt_prst5</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst6" type="int">
-          <ipxact:name>c_cnt_prst6</ipxact:name>
-          <ipxact:displayName>c_cnt_prst6</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst7" type="int">
-          <ipxact:name>c_cnt_prst7</ipxact:name>
-          <ipxact:displayName>c_cnt_prst7</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst8" type="int">
-          <ipxact:name>c_cnt_prst8</ipxact:name>
-          <ipxact:displayName>c_cnt_prst8</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst9" type="int">
-          <ipxact:name>c_cnt_prst9</ipxact:name>
-          <ipxact:displayName>c_cnt_prst9</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst10" type="int">
-          <ipxact:name>c_cnt_prst10</ipxact:name>
-          <ipxact:displayName>c_cnt_prst10</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst11" type="int">
-          <ipxact:name>c_cnt_prst11</ipxact:name>
-          <ipxact:displayName>c_cnt_prst11</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst12" type="int">
-          <ipxact:name>c_cnt_prst12</ipxact:name>
-          <ipxact:displayName>c_cnt_prst12</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst13" type="int">
-          <ipxact:name>c_cnt_prst13</ipxact:name>
-          <ipxact:displayName>c_cnt_prst13</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst14" type="int">
-          <ipxact:name>c_cnt_prst14</ipxact:name>
-          <ipxact:displayName>c_cnt_prst14</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst15" type="int">
-          <ipxact:name>c_cnt_prst15</ipxact:name>
-          <ipxact:displayName>c_cnt_prst15</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst16" type="int">
-          <ipxact:name>c_cnt_prst16</ipxact:name>
-          <ipxact:displayName>c_cnt_prst16</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_prst17" type="int">
-          <ipxact:name>c_cnt_prst17</ipxact:name>
-          <ipxact:displayName>c_cnt_prst17</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst0" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst0</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst0</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst1" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst1</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst1</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst2" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst2</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst2</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst3" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst3</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst3</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst4" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst4</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst4</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst5" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst5</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst5</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst6" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst6</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst6</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst7" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst7</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst7</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst8" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst8</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst8</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst9" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst9</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst9</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst10" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst10</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst10</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst11" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst11</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst11</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst12" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst12</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst12</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst13" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst13</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst13</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst14" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst14</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst14</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst15" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst15</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst15</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst16" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst16</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst16</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_ph_mux_prst17" type="int">
-          <ipxact:name>c_cnt_ph_mux_prst17</ipxact:name>
-          <ipxact:displayName>c_cnt_ph_mux_prst17</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src0" type="string">
-          <ipxact:name>c_cnt_in_src0</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src0</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src1" type="string">
-          <ipxact:name>c_cnt_in_src1</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src1</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src2" type="string">
-          <ipxact:name>c_cnt_in_src2</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src2</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src3" type="string">
-          <ipxact:name>c_cnt_in_src3</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src3</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src4" type="string">
-          <ipxact:name>c_cnt_in_src4</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src4</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src5" type="string">
-          <ipxact:name>c_cnt_in_src5</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src5</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src6" type="string">
-          <ipxact:name>c_cnt_in_src6</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src6</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src7" type="string">
-          <ipxact:name>c_cnt_in_src7</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src7</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src8" type="string">
-          <ipxact:name>c_cnt_in_src8</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src8</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src9" type="string">
-          <ipxact:name>c_cnt_in_src9</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src9</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src10" type="string">
-          <ipxact:name>c_cnt_in_src10</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src10</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src11" type="string">
-          <ipxact:name>c_cnt_in_src11</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src11</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src12" type="string">
-          <ipxact:name>c_cnt_in_src12</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src12</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src13" type="string">
-          <ipxact:name>c_cnt_in_src13</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src13</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src14" type="string">
-          <ipxact:name>c_cnt_in_src14</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src14</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src15" type="string">
-          <ipxact:name>c_cnt_in_src15</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src15</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src16" type="string">
-          <ipxact:name>c_cnt_in_src16</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src16</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_in_src17" type="string">
-          <ipxact:name>c_cnt_in_src17</ipxact:name>
-          <ipxact:displayName>c_cnt_in_src17</ipxact:displayName>
-          <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en0" type="bit">
-          <ipxact:name>c_cnt_bypass_en0</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en0</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en1" type="bit">
-          <ipxact:name>c_cnt_bypass_en1</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en1</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en2" type="bit">
-          <ipxact:name>c_cnt_bypass_en2</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en2</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en3" type="bit">
-          <ipxact:name>c_cnt_bypass_en3</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en3</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en4" type="bit">
-          <ipxact:name>c_cnt_bypass_en4</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en4</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en5" type="bit">
-          <ipxact:name>c_cnt_bypass_en5</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en5</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en6" type="bit">
-          <ipxact:name>c_cnt_bypass_en6</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en6</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en7" type="bit">
-          <ipxact:name>c_cnt_bypass_en7</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en7</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en8" type="bit">
-          <ipxact:name>c_cnt_bypass_en8</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en8</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en9" type="bit">
-          <ipxact:name>c_cnt_bypass_en9</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en9</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en10" type="bit">
-          <ipxact:name>c_cnt_bypass_en10</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en10</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en11" type="bit">
-          <ipxact:name>c_cnt_bypass_en11</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en11</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en12" type="bit">
-          <ipxact:name>c_cnt_bypass_en12</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en12</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en13" type="bit">
-          <ipxact:name>c_cnt_bypass_en13</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en13</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en14" type="bit">
-          <ipxact:name>c_cnt_bypass_en14</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en14</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en15" type="bit">
-          <ipxact:name>c_cnt_bypass_en15</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en15</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en16" type="bit">
-          <ipxact:name>c_cnt_bypass_en16</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en16</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_bypass_en17" type="bit">
-          <ipxact:name>c_cnt_bypass_en17</ipxact:name>
-          <ipxact:displayName>c_cnt_bypass_en17</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en0" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en0</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en0</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en1" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en1</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en1</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en2" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en2</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en2</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en3" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en3</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en3</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en4" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en4</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en4</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en5" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en5</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en5</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en6" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en6</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en6</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en7" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en7</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en7</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en8" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en8</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en8</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en9" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en9</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en9</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en10" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en10</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en10</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en11" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en11</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en11</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en12" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en12</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en12</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en13" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en13</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en13</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en14" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en14</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en14</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en15" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en15</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en15</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en16" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en16</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en16</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="c_cnt_odd_div_duty_en17" type="bit">
-          <ipxact:name>c_cnt_odd_div_duty_en17</ipxact:name>
-          <ipxact:displayName>c_cnt_odd_div_duty_en17</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency0" type="string">
-          <ipxact:name>output_clock_frequency0</ipxact:name>
-          <ipxact:displayName>output_clock_frequency0</ipxact:displayName>
-          <ipxact:value>100.0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency1" type="string">
-          <ipxact:name>output_clock_frequency1</ipxact:name>
-          <ipxact:displayName>output_clock_frequency1</ipxact:displayName>
-          <ipxact:value>200.0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency2" type="string">
-          <ipxact:name>output_clock_frequency2</ipxact:name>
-          <ipxact:displayName>output_clock_frequency2</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency3" type="string">
-          <ipxact:name>output_clock_frequency3</ipxact:name>
-          <ipxact:displayName>output_clock_frequency3</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency4" type="string">
-          <ipxact:name>output_clock_frequency4</ipxact:name>
-          <ipxact:displayName>output_clock_frequency4</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency5" type="string">
-          <ipxact:name>output_clock_frequency5</ipxact:name>
-          <ipxact:displayName>output_clock_frequency5</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency6" type="string">
-          <ipxact:name>output_clock_frequency6</ipxact:name>
-          <ipxact:displayName>output_clock_frequency6</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency7" type="string">
-          <ipxact:name>output_clock_frequency7</ipxact:name>
-          <ipxact:displayName>output_clock_frequency7</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency8" type="string">
-          <ipxact:name>output_clock_frequency8</ipxact:name>
-          <ipxact:displayName>output_clock_frequency8</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency9" type="string">
-          <ipxact:name>output_clock_frequency9</ipxact:name>
-          <ipxact:displayName>output_clock_frequency9</ipxact:displayName>
-          <ipxact:value>0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency10" type="string">
-          <ipxact:name>output_clock_frequency10</ipxact:name>
-          <ipxact:displayName>output_clock_frequency10</ipxact:displayName>
-          <ipxact:value>0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency11" type="string">
-          <ipxact:name>output_clock_frequency11</ipxact:name>
-          <ipxact:displayName>output_clock_frequency11</ipxact:displayName>
-          <ipxact:value>0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency12" type="string">
-          <ipxact:name>output_clock_frequency12</ipxact:name>
-          <ipxact:displayName>output_clock_frequency12</ipxact:displayName>
-          <ipxact:value>0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency13" type="string">
-          <ipxact:name>output_clock_frequency13</ipxact:name>
-          <ipxact:displayName>output_clock_frequency13</ipxact:displayName>
-          <ipxact:value>0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency14" type="string">
-          <ipxact:name>output_clock_frequency14</ipxact:name>
-          <ipxact:displayName>output_clock_frequency14</ipxact:displayName>
-          <ipxact:value>0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency15" type="string">
-          <ipxact:name>output_clock_frequency15</ipxact:name>
-          <ipxact:displayName>output_clock_frequency15</ipxact:displayName>
-          <ipxact:value>0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency16" type="string">
-          <ipxact:name>output_clock_frequency16</ipxact:name>
-          <ipxact:displayName>output_clock_frequency16</ipxact:displayName>
-          <ipxact:value>0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="output_clock_frequency17" type="string">
-          <ipxact:name>output_clock_frequency17</ipxact:name>
-          <ipxact:displayName>output_clock_frequency17</ipxact:displayName>
-          <ipxact:value>0 MHz</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift0" type="string">
-          <ipxact:name>phase_shift0</ipxact:name>
-          <ipxact:displayName>phase_shift0</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift1" type="string">
-          <ipxact:name>phase_shift1</ipxact:name>
-          <ipxact:displayName>phase_shift1</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift2" type="string">
-          <ipxact:name>phase_shift2</ipxact:name>
-          <ipxact:displayName>phase_shift2</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift3" type="string">
-          <ipxact:name>phase_shift3</ipxact:name>
-          <ipxact:displayName>phase_shift3</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift4" type="string">
-          <ipxact:name>phase_shift4</ipxact:name>
-          <ipxact:displayName>phase_shift4</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift5" type="string">
-          <ipxact:name>phase_shift5</ipxact:name>
-          <ipxact:displayName>phase_shift5</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift6" type="string">
-          <ipxact:name>phase_shift6</ipxact:name>
-          <ipxact:displayName>phase_shift6</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift7" type="string">
-          <ipxact:name>phase_shift7</ipxact:name>
-          <ipxact:displayName>phase_shift7</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift8" type="string">
-          <ipxact:name>phase_shift8</ipxact:name>
-          <ipxact:displayName>phase_shift8</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift9" type="string">
-          <ipxact:name>phase_shift9</ipxact:name>
-          <ipxact:displayName>phase_shift9</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift10" type="string">
-          <ipxact:name>phase_shift10</ipxact:name>
-          <ipxact:displayName>phase_shift10</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift11" type="string">
-          <ipxact:name>phase_shift11</ipxact:name>
-          <ipxact:displayName>phase_shift11</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift12" type="string">
-          <ipxact:name>phase_shift12</ipxact:name>
-          <ipxact:displayName>phase_shift12</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift13" type="string">
-          <ipxact:name>phase_shift13</ipxact:name>
-          <ipxact:displayName>phase_shift13</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift14" type="string">
-          <ipxact:name>phase_shift14</ipxact:name>
-          <ipxact:displayName>phase_shift14</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift15" type="string">
-          <ipxact:name>phase_shift15</ipxact:name>
-          <ipxact:displayName>phase_shift15</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift16" type="string">
-          <ipxact:name>phase_shift16</ipxact:name>
-          <ipxact:displayName>phase_shift16</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="phase_shift17" type="string">
-          <ipxact:name>phase_shift17</ipxact:name>
-          <ipxact:displayName>phase_shift17</ipxact:displayName>
-          <ipxact:value>0 ps</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle0" type="int">
-          <ipxact:name>duty_cycle0</ipxact:name>
-          <ipxact:displayName>duty_cycle0</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle1" type="int">
-          <ipxact:name>duty_cycle1</ipxact:name>
-          <ipxact:displayName>duty_cycle1</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle2" type="int">
-          <ipxact:name>duty_cycle2</ipxact:name>
-          <ipxact:displayName>duty_cycle2</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle3" type="int">
-          <ipxact:name>duty_cycle3</ipxact:name>
-          <ipxact:displayName>duty_cycle3</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle4" type="int">
-          <ipxact:name>duty_cycle4</ipxact:name>
-          <ipxact:displayName>duty_cycle4</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle5" type="int">
-          <ipxact:name>duty_cycle5</ipxact:name>
-          <ipxact:displayName>duty_cycle5</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle6" type="int">
-          <ipxact:name>duty_cycle6</ipxact:name>
-          <ipxact:displayName>duty_cycle6</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle7" type="int">
-          <ipxact:name>duty_cycle7</ipxact:name>
-          <ipxact:displayName>duty_cycle7</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle8" type="int">
-          <ipxact:name>duty_cycle8</ipxact:name>
-          <ipxact:displayName>duty_cycle8</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle9" type="int">
-          <ipxact:name>duty_cycle9</ipxact:name>
-          <ipxact:displayName>duty_cycle9</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle10" type="int">
-          <ipxact:name>duty_cycle10</ipxact:name>
-          <ipxact:displayName>duty_cycle10</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle11" type="int">
-          <ipxact:name>duty_cycle11</ipxact:name>
-          <ipxact:displayName>duty_cycle11</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle12" type="int">
-          <ipxact:name>duty_cycle12</ipxact:name>
-          <ipxact:displayName>duty_cycle12</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle13" type="int">
-          <ipxact:name>duty_cycle13</ipxact:name>
-          <ipxact:displayName>duty_cycle13</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle14" type="int">
-          <ipxact:name>duty_cycle14</ipxact:name>
-          <ipxact:displayName>duty_cycle14</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle15" type="int">
-          <ipxact:name>duty_cycle15</ipxact:name>
-          <ipxact:displayName>duty_cycle15</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle16" type="int">
-          <ipxact:name>duty_cycle16</ipxact:name>
-          <ipxact:displayName>duty_cycle16</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="duty_cycle17" type="int">
-          <ipxact:name>duty_cycle17</ipxact:name>
-          <ipxact:displayName>duty_cycle17</ipxact:displayName>
-          <ipxact:value>50</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_0" type="string">
-          <ipxact:name>clock_name_0</ipxact:name>
-          <ipxact:displayName>clock_name_0</ipxact:displayName>
-          <ipxact:value>link_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_1" type="string">
-          <ipxact:name>clock_name_1</ipxact:name>
-          <ipxact:displayName>clock_name_1</ipxact:displayName>
-          <ipxact:value>frame_clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_2" type="string">
-          <ipxact:name>clock_name_2</ipxact:name>
-          <ipxact:displayName>clock_name_2</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_3" type="string">
-          <ipxact:name>clock_name_3</ipxact:name>
-          <ipxact:displayName>clock_name_3</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_4" type="string">
-          <ipxact:name>clock_name_4</ipxact:name>
-          <ipxact:displayName>clock_name_4</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_5" type="string">
-          <ipxact:name>clock_name_5</ipxact:name>
-          <ipxact:displayName>clock_name_5</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_6" type="string">
-          <ipxact:name>clock_name_6</ipxact:name>
-          <ipxact:displayName>clock_name_6</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_7" type="string">
-          <ipxact:name>clock_name_7</ipxact:name>
-          <ipxact:displayName>clock_name_7</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_8" type="string">
-          <ipxact:name>clock_name_8</ipxact:name>
-          <ipxact:displayName>clock_name_8</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global_0" type="bit">
-          <ipxact:name>clock_name_global_0</ipxact:name>
-          <ipxact:displayName>clock_name_global_0</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global_1" type="bit">
-          <ipxact:name>clock_name_global_1</ipxact:name>
-          <ipxact:displayName>clock_name_global_1</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global_2" type="bit">
-          <ipxact:name>clock_name_global_2</ipxact:name>
-          <ipxact:displayName>clock_name_global_2</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global_3" type="bit">
-          <ipxact:name>clock_name_global_3</ipxact:name>
-          <ipxact:displayName>clock_name_global_3</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global_4" type="bit">
-          <ipxact:name>clock_name_global_4</ipxact:name>
-          <ipxact:displayName>clock_name_global_4</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global_5" type="bit">
-          <ipxact:name>clock_name_global_5</ipxact:name>
-          <ipxact:displayName>clock_name_global_5</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global_6" type="bit">
-          <ipxact:name>clock_name_global_6</ipxact:name>
-          <ipxact:displayName>clock_name_global_6</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global_7" type="bit">
-          <ipxact:name>clock_name_global_7</ipxact:name>
-          <ipxact:displayName>clock_name_global_7</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="clock_name_global_8" type="bit">
-          <ipxact:name>clock_name_global_8</ipxact:name>
-          <ipxact:displayName>clock_name_global_8</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="divide_factor0" type="int">
-          <ipxact:name>divide_factor0</ipxact:name>
-          <ipxact:displayName>divide_factor0</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="divide_factor1" type="int">
-          <ipxact:name>divide_factor1</ipxact:name>
-          <ipxact:displayName>divide_factor1</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="divide_factor2" type="int">
-          <ipxact:name>divide_factor2</ipxact:name>
-          <ipxact:displayName>divide_factor2</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="divide_factor3" type="int">
-          <ipxact:name>divide_factor3</ipxact:name>
-          <ipxact:displayName>divide_factor3</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="divide_factor4" type="int">
-          <ipxact:name>divide_factor4</ipxact:name>
-          <ipxact:displayName>divide_factor4</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="divide_factor5" type="int">
-          <ipxact:name>divide_factor5</ipxact:name>
-          <ipxact:displayName>divide_factor5</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="divide_factor6" type="int">
-          <ipxact:name>divide_factor6</ipxact:name>
-          <ipxact:displayName>divide_factor6</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="divide_factor7" type="int">
-          <ipxact:name>divide_factor7</ipxact:name>
-          <ipxact:displayName>divide_factor7</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="divide_factor8" type="int">
-          <ipxact:name>divide_factor8</ipxact:name>
-          <ipxact:displayName>divide_factor8</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_tclk_mux_en" type="bit">
-          <ipxact:name>pll_tclk_mux_en</ipxact:name>
-          <ipxact:displayName>pll_tclk_mux_en</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_tclk_sel" type="string">
-          <ipxact:name>pll_tclk_sel</ipxact:name>
-          <ipxact:displayName>pll_tclk_sel</ipxact:displayName>
-          <ipxact:value>pll_tclk_m_src</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_vco_freq_band_0" type="string">
-          <ipxact:name>pll_vco_freq_band_0</ipxact:name>
-          <ipxact:displayName>pll_vco_freq_band_0</ipxact:displayName>
-          <ipxact:value>pll_freq_clk0_disabled</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_vco_freq_band_1" type="string">
-          <ipxact:name>pll_vco_freq_band_1</ipxact:name>
-          <ipxact:displayName>pll_vco_freq_band_1</ipxact:displayName>
-          <ipxact:value>pll_freq_clk1_disabled</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_freqcal_req_flag" type="bit">
-          <ipxact:name>pll_freqcal_req_flag</ipxact:name>
-          <ipxact:displayName>pll_freqcal_req_flag</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="cal_converge" type="bit">
-          <ipxact:name>cal_converge</ipxact:name>
-          <ipxact:displayName>cal_converge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="cal_error" type="string">
-          <ipxact:name>cal_error</ipxact:name>
-          <ipxact:displayName>cal_error</ipxact:displayName>
-          <ipxact:value>cal_clean</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="pll_cal_done" type="bit">
-          <ipxact:name>pll_cal_done</ipxact:name>
-          <ipxact:displayName>pll_cal_done</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="include_iossm" type="bit">
-          <ipxact:name>include_iossm</ipxact:name>
-          <ipxact:displayName>include_iossm</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="cal_code_hex_file" type="string">
-          <ipxact:name>cal_code_hex_file</ipxact:name>
-          <ipxact:displayName>cal_code_hex_file</ipxact:displayName>
-          <ipxact:value>iossm.hex</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="parameter_table_hex_file" type="string">
-          <ipxact:name>parameter_table_hex_file</ipxact:name>
-          <ipxact:displayName>parameter_table_hex_file</ipxact:displayName>
-          <ipxact:value>seq_params_sim.hex</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="iossm_nios_sim_clk_period_ps" type="int">
-          <ipxact:name>iossm_nios_sim_clk_period_ps</ipxact:name>
-          <ipxact:displayName>iossm_nios_sim_clk_period_ps</ipxact:displayName>
-          <ipxact:value>1333</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_number_of_family_allowable_clocks" type="int">
-          <ipxact:name>hp_number_of_family_allowable_clocks</ipxact:name>
-          <ipxact:displayName>hp_number_of_family_allowable_clocks</ipxact:displayName>
-          <ipxact:value>9</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_previous_num_clocks" type="int">
-          <ipxact:name>hp_previous_num_clocks</ipxact:name>
-          <ipxact:displayName>hp_previous_num_clocks</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_vco_frequency_fp" type="real">
-          <ipxact:name>hp_actual_vco_frequency_fp</ipxact:name>
-          <ipxact:displayName>hp_actual_vco_frequency_fp</ipxact:displayName>
-          <ipxact:value>600.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_parameter_update_message" type="string">
-          <ipxact:name>hp_parameter_update_message</ipxact:name>
-          <ipxact:displayName>hp_parameter_update_message</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_qsys_scripting_mode" type="bit">
-          <ipxact:name>hp_qsys_scripting_mode</ipxact:name>
-          <ipxact:displayName>hp_qsys_scripting_mode</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp0" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp0</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp0</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp1" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp1</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp1</ipxact:displayName>
-          <ipxact:value>200.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp2" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp2</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp2</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp3" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp3</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp3</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp4" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp4</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp4</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp5" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp5</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp5</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp6" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp6</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp6</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp7" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp7</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp7</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp8" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp8</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp8</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp9" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp9</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp9</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp10" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp10</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp10</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp11" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp11</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp11</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp12" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp12</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp12</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp13" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp13</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp13</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp14" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp14</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp14</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp15" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp15</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp15</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp16" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp16</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp16</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp17" type="real">
-          <ipxact:name>hp_actual_output_clock_frequency_fp17</ipxact:name>
-          <ipxact:displayName>hp_actual_output_clock_frequency_fp17</ipxact:displayName>
-          <ipxact:value>100.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp0" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp0</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp0</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp1" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp1</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp1</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp2" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp2</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp2</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp3" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp3</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp3</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp4" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp4</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp4</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp5" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp5</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp5</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp6" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp6</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp6</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp7" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp7</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp7</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp8" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp8</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp8</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp9" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp9</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp9</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp10" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp10</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp10</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp11" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp11</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp11</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp12" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp12</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp12</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp13" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp13</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp13</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp14" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp14</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp14</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp15" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp15</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp15</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp16" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp16</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp16</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_phase_shift_fp17" type="real">
-          <ipxact:name>hp_actual_phase_shift_fp17</ipxact:name>
-          <ipxact:displayName>hp_actual_phase_shift_fp17</ipxact:displayName>
-          <ipxact:value>0.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp0" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp0</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp0</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp1" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp1</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp1</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp2" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp2</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp2</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp3" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp3</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp3</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp4" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp4</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp4</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp5" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp5</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp5</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp6" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp6</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp6</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp7" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp7</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp7</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp8" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp8</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp8</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp9" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp9</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp9</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp10" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp10</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp10</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp11" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp11</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp11</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp12" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp12</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp12</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp13" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp13</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp13</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp14" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp14</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp14</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp15" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp15</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp15</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp16" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp16</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp16</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hp_actual_duty_cycle_fp17" type="real">
-          <ipxact:name>hp_actual_duty_cycle_fp17</ipxact:name>
-          <ipxact:displayName>hp_actual_duty_cycle_fp17</ipxact:displayName>
-          <ipxact:value>50.0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>gui_device_family</spirit:name>
+          <spirit:displayName>Device Family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_device_family">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_device_component</spirit:name>
+          <spirit:displayName>Component</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_device_component">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_device_speed_grade</spirit:name>
+          <spirit:displayName>Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_device_speed_grade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_debug_mode</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_debug_mode">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_skip_sdc_generation</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_skip_sdc_generation">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_include_iossm</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_include_iossm">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cal_code_hex_file</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_cal_code_hex_file">iossm.hex</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_parameter_table_hex_file</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_parameter_table_hex_file">seq_params_sim.hex</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_tclk_mux_en</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_pll_tclk_mux_en">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_tclk_sel</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_pll_tclk_sel">pll_tclk_m_src</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_vco_freq_band_0</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_pll_vco_freq_band_0">pll_freq_clk0_disabled</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_vco_freq_band_1</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_pll_vco_freq_band_1">pll_freq_clk1_disabled</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_freqcal_en</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_pll_freqcal_en">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_freqcal_req_flag</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_pll_freqcal_req_flag">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cal_converge</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cal_converge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cal_error</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_cal_error">cal_clean</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_cal_done</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_pll_cal_done">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_type</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_pll_type">S10_Simple</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_m_cnt_in_src</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_pll_m_cnt_in_src">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_c_cnt_in_src0</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src0">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_c_cnt_in_src1</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src1">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_c_cnt_in_src2</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src2">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_c_cnt_in_src3</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src3">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_c_cnt_in_src4</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src4">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_c_cnt_in_src5</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src5">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_c_cnt_in_src6</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src6">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_c_cnt_in_src7</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src7">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_c_cnt_in_src8</spirit:name>
+          <spirit:displayName></spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src8">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>system_info_device_family</spirit:name>
+          <spirit:displayName>Device Family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="system_info_device_family">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>system_info_device_component</spirit:name>
+          <spirit:displayName>Component</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="system_info_device_component">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>system_info_device_speed_grade</spirit:name>
+          <spirit:displayName>Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="system_info_device_speed_grade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>system_part_trait_speed_grade</spirit:name>
+          <spirit:displayName>Speed Grade Trait</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="system_part_trait_speed_grade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_usr_device_speed_grade</spirit:name>
+          <spirit:displayName>Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_usr_device_speed_grade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_en_reconf</spirit:name>
+          <spirit:displayName>Enable dynamic reconfiguration of PLL</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_en_reconf">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_en_dps_ports</spirit:name>
+          <spirit:displayName>Enable access to dynamic phase shift ports</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_en_dps_ports">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_mode</spirit:name>
+          <spirit:displayName>PLL Mode</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_pll_mode">Integer-N PLL</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_use_logical</spirit:name>
+          <spirit:displayName>Use logical PLL</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_use_logical">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_reference_clock_frequency</spirit:name>
+          <spirit:displayName>Reference Clock Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_reference_clock_frequency">200.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_use_coreclk</spirit:name>
+          <spirit:displayName>Refclk source is global clock</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_use_coreclk">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_refclk_might_change</spirit:name>
+          <spirit:displayName>My reference clock frequency might change</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_refclk_might_change">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_fractional_cout</spirit:name>
+          <spirit:displayName>Fractional carry out</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_fractional_cout">32</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_prot_mode</spirit:name>
+          <spirit:displayName>prot_mode</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_prot_mode">UNUSED</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_dsm_out_sel</spirit:name>
+          <spirit:displayName>DSM Order</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_dsm_out_sel">1st_order</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_use_locked</spirit:name>
+          <spirit:displayName>Enable locked output port</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_use_locked">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_en_adv_params</spirit:name>
+          <spirit:displayName>Enable physical output clock parameters</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_en_adv_params">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_bandwidth_preset</spirit:name>
+          <spirit:displayName>PLL Bandwidth Preset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_pll_bandwidth_preset">Low</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_lock_setting</spirit:name>
+          <spirit:displayName>Lock Threshold Setting</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_lock_setting">Low Lock Time</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_auto_reset</spirit:name>
+          <spirit:displayName>PLL Auto Reset</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_pll_auto_reset">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_en_lvds_ports</spirit:name>
+          <spirit:displayName>Access to PLL LVDS_CLK/LOADEN output port</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_en_lvds_ports">Disabled</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_operation_mode</spirit:name>
+          <spirit:displayName>Compensation Mode</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_operation_mode">source synchronous</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_feedback_clock</spirit:name>
+          <spirit:displayName>Feedback Clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_feedback_clock">Global Clock</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_to_compensate</spirit:name>
+          <spirit:displayName>Compensated Outclk</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_clock_to_compensate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_use_NDFB_modes</spirit:name>
+          <spirit:displayName>Use Nondedicated Feedback Path</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_use_NDFB_modes">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_refclk_switch</spirit:name>
+          <spirit:displayName>Create a second input clock signal 'refclk1'</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_refclk_switch">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_refclk1_frequency</spirit:name>
+          <spirit:displayName>Second Reference Clock Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_refclk1_frequency">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_en_phout_ports</spirit:name>
+          <spirit:displayName>Enable access to PLL DPA output port</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_en_phout_ports">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phout_division</spirit:name>
+          <spirit:displayName>PLL DPA output division</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_phout_division">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_en_extclkout_ports</spirit:name>
+          <spirit:displayName>Enable access to PLL external clock output port</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_en_extclkout_ports">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_number_of_clocks</spirit:name>
+          <spirit:displayName>Number Of Clocks</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_number_of_clocks">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_multiply_factor</spirit:name>
+          <spirit:displayName>Multiply Factor (M-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_multiply_factor">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_n</spirit:name>
+          <spirit:displayName>Divide Factor (N-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_n">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_frac_multiply_factor</spirit:name>
+          <spirit:displayName>Fractional Multiply Factor (K)</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_frac_multiply_factor">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_fix_vco_frequency</spirit:name>
+          <spirit:displayName>Specify VCO frequency</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_fix_vco_frequency">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_fixed_vco_frequency</spirit:name>
+          <spirit:displayName>Desired VCO Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_fixed_vco_frequency">600.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_vco_frequency</spirit:name>
+          <spirit:displayName>Actual VCO Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_vco_frequency">600.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_enable_output_counter_cascading</spirit:name>
+          <spirit:displayName>Enable output counter cascading</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_enable_output_counter_cascading">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_mif_gen_options</spirit:name>
+          <spirit:displayName>MIF Generation Options</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_mif_gen_options">Generate New MIF File</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_new_mif_file_path</spirit:name>
+          <spirit:displayName>Path to New MIF file</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_new_mif_file_path">~/pll.mif</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_existing_mif_file_path</spirit:name>
+          <spirit:displayName>Path to Existing MIF file</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_existing_mif_file_path">~/pll.mif</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_mif_config_name</spirit:name>
+          <spirit:displayName>Name of Current Configuration</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_mif_config_name">unnamed</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_active_clk</spirit:name>
+          <spirit:displayName>Create an 'active_clk' signal to indicate the input clock in use</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_active_clk">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clk_bad</spirit:name>
+          <spirit:displayName>Create a 'clkbad' signal for each of the input clocks</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_clk_bad">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_switchover_mode</spirit:name>
+          <spirit:displayName>Switchover Mode</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_switchover_mode">Automatic Switchover</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_switchover_delay</spirit:name>
+          <spirit:displayName>Switchover Delay</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_switchover_delay">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_enable_cascade_out</spirit:name>
+          <spirit:displayName>Create a 'cascade_out' signal to connect to a downstream PLL</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_enable_cascade_out">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_outclk_index</spirit:name>
+          <spirit:displayName>cascade_out source</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_cascade_outclk_index">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_enable_cascade_in</spirit:name>
+          <spirit:displayName>Create an 'adjpllin' (cascade in) signal to connect to an upstream PLL through IO Column Cascading</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_enable_cascade_in">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_enable_permit_cal</spirit:name>
+          <spirit:displayName>Connect to an upstream PLL through Core Clock Network Cascading (create a permit_cal input signal)</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_enable_permit_cal">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_cascading_mode</spirit:name>
+          <spirit:displayName>Connection Signal Type to Upstream PLL</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_pll_cascading_mode">adjpllin</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_enable_mif_dps</spirit:name>
+          <spirit:displayName>Enable Dynamic Phase Shift for MIF streaming</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_enable_mif_dps">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_dps_cntr</spirit:name>
+          <spirit:displayName>DPS Counter Selection</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_dps_cntr">C0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_dps_num</spirit:name>
+          <spirit:displayName>Number of Dynamic Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_dps_num">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_dps_dir</spirit:name>
+          <spirit:displayName>Dynamic Phase Shift Direction</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_dps_dir">Positive</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_extclkout_0_source</spirit:name>
+          <spirit:displayName>extclk_out[0] source</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_extclkout_0_source">C0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_extclkout_1_source</spirit:name>
+          <spirit:displayName>extclk_out[1] source</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_extclkout_1_source">C0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_global</spirit:name>
+          <spirit:displayName>Give clocks global names</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_clock_name_global">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string0</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string0">link_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string1</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string1">frame_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string2</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string2">outclk2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string3</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string3">outclk3</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string4</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string4">outclk4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string5</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string5">outclk5</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string6</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string6">outclk6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string7</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string7">outclk7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string8</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string8">outclk8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string9</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string9">outclk9</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string10</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string10">outclk10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string11</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string11">outclk11</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string12</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string12">outclk12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string13</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string13">outclk13</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string14</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string14">outclk14</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string15</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string15">outclk15</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string16</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string16">outclk16</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_clock_name_string17</spirit:name>
+          <spirit:displayName>Clock Name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_clock_name_string17">outclk17</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c0</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c0">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c1</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c1">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c2</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c2">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c3</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c3">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c4</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c4">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c5</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c5">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c6</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c6">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c7</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c7">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c8</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c8">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c9</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c9">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c10</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c10">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c11</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c11">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c12</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c12">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c13</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c13">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c14</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c14">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c15</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c15">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c16</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c16">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_divide_factor_c17</spirit:name>
+          <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c17">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter0</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter0">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter1</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter1">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter2</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter2">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter3</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter3">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter4</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter4">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter5</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter5">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter6</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter6">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter7</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter7">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter8</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter8">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter9</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter9">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter10</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter10">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter11</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter11">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter12</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter12">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter13</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter13">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter14</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter14">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter15</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter15">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter16</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter16">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_cascade_counter17</spirit:name>
+          <spirit:displayName>Make this a cascade counter</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter17">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency0</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency0">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency1</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency1">200.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency2</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency2">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency3</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency3">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency4</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency4">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency5</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency5">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency6</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency6">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency7</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency7">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency8</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency8">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency9</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency9">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency10</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency10">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency11</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency11">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency12</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency12">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency13</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency13">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency14</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency14">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency15</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency15">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency16</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency16">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_output_clock_frequency17</spirit:name>
+          <spirit:displayName>Desired Frequency</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency17">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency0</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency0">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency1</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency1">200.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency2</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency2">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency3</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency3">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency4</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency4">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency5</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency5">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency6</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency6">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency7</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency7">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency8</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency8">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency9</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency9">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency10</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency10">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency11</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency11">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency12</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency12">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency13</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency13">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency14</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency14">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency15</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency15">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency16</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency16">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency17</spirit:name>
+          <spirit:displayName>Actual Frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency17">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range0</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range0">99.595142,99.607843,99.649123,100.0,100.350877,100.392157</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range1</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range1">183.333333,185.714286,187.5,200.0,214.285714,216.666667</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range2</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range2">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range3</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range3">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range4</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range4">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range5</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range5">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range6</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range6">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range7</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range7">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range8</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range8">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range9</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range9">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range10</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range10">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range11</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range11">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range12</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range12">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range13</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range13">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range14</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range14">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range15</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range15">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range16</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range16">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_output_clock_frequency_range17</spirit:name>
+          <spirit:displayName>Legal Frequencies</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range17">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units0</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units0">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units1</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units1">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units2</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units2">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units3</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units3">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units4</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units4">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units5</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units5">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units6</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units6">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units7</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units7">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units8</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units8">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units9</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units9">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units10</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units10">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units11</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units11">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units12</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units12">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units13</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units13">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units14</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units14">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units15</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units15">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units16</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units16">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_ps_units17</spirit:name>
+          <spirit:displayName>Phase Shift Units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_ps_units17">ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift0</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift0">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift1</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift1">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift2</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift2">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift3</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift3">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift4</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift4">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift5</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift5">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift6</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift6">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift7</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift7">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift8</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift8">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift9</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift9">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift10</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift10">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift11</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift11">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift12</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift12">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift13</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift13">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift14</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift14">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift15</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift15">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift16</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift16">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift17</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift17">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg0</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg0">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg1</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg1">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg2</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg2">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg3</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg3">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg4</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg4">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg5</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg5">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg6</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg6">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg7</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg7">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg8</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg8">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg9</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg9">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg10</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg10">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg11</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg11">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg12</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg12">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg13</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg13">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg14</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg14">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg15</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg15">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg16</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg16">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_phase_shift_deg17</spirit:name>
+          <spirit:displayName>Desired Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg17">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift0</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift0">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift1</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift1">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift2</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift2">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift3</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift3">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift4</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift4">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift5</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift5">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift6</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift6">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift7</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift7">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift8</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift8">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift9</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift9">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift10</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift10">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift11</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift11">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift12</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift12">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift13</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift13">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift14</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift14">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift15</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift15">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift16</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift16">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift17</spirit:name>
+          <spirit:displayName>Actual phase shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift17">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range0</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range0">0.0,78.1,89.3,104.2,125.0,156.2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range1</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range1">0.0,78.1,89.3,104.2,125.0,156.2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range2</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range2">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range3</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range3">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range4</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range4">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range5</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range5">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range6</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range6">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range7</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range7">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range8</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range8">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range9</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range9">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range10</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range10">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range11</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range11">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range12</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range12">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range13</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range13">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range14</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range14">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range15</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range15">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range16</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range16">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_range17</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range17">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg0</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg0">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg1</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg1">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg2</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg2">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg3</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg3">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg4</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg4">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg5</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg5">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg6</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg6">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg7</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg7">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg8</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg8">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg9</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg9">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg10</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg10">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg11</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg11">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg12</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg12">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg13</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg13">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg14</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg14">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg15</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg15">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg16</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg16">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg17</spirit:name>
+          <spirit:displayName>Actual Phase Shift</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg17">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range0</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range0">0.0,2.8,3.2,3.8,4.5,5.6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range1</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range1">0.0,5.6,6.4,7.5,9.0,11.2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range2</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range2">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range3</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range3">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range4</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range4">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range5</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range5">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range6</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range6">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range7</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range7">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range8</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range8">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range9</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range9">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range10</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range10">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range11</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range11">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range12</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range12">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range13</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range13">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range14</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range14">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range15</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range15">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range16</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range16">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_phase_shift_deg_range17</spirit:name>
+          <spirit:displayName>Legal Phase Shifts</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range17">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle0</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle0">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle1</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle1">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle2</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle2">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle3</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle3">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle4</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle4">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle5</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle5">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle6</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle6">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle7</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle7">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle8</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle8">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle9</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle9">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle10</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle10">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle11</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle11">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle12</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle12">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle13</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle13">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle14</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle14">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle15</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle15">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle16</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle16">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_duty_cycle17</spirit:name>
+          <spirit:displayName>Desired Duty Cycle</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="gui_duty_cycle17">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle0</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle0">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle1</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle1">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle2</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle2">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle3</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle3">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle4</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle4">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle5</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle5">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle6</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle6">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle7</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle7">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle8</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle8">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle9</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle9">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle10</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle10">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle11</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle11">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle12</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle12">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle13</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle13">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle14</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle14">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle15</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle15">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle16</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle16">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle17</spirit:name>
+          <spirit:displayName>Actual duty cycle</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle17">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range0</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range0">45.83,46.43,46.88,50.0,53.12,53.57</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range1</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range1">41.67,42.86,43.75,50.0,56.25,57.14</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range2</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range2">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range3</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range3">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range4</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range4">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range5</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range5">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range6</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range6">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range7</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range7">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range8</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range8">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range9</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range9">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range10</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range10">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range11</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range11">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range12</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range12">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range13</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range13">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range14</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range14">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range15</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range15">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range16</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range16">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_actual_duty_cycle_range17</spirit:name>
+          <spirit:displayName>Legal Duty Cycles</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range17">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>parameterTable_names</spirit:name>
+          <spirit:displayName>Parameter Names</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="parameterTable_names">M-Counter Divide Setting,N-Counter Divide Setting,VCO Frequency,C-Counter-0 Divide Setting,C-Counter-1 Divide Setting,C-Counter-2 Divide Setting,C-Counter-3 Divide Setting,C-Counter-4 Divide Setting,C-Counter-5 Divide Setting,C-Counter-6 Divide Setting,C-Counter-7 Divide Setting,C-Counter-8 Divide Setting,PLL Auto Reset,M-Counter Hi Divide,M-Counter Lo Divide,M-Counter Even Duty Enable,M-Counter Bypass Enable,N-Counter Hi Divide,N-Counter Lo Divide,N-Counter Even Duty Enable,N-Counter Bypass Enable,C-Counter-0 Hi Divide,C-Counter-1 Hi Divide,C-Counter-2 Hi Divide,C-Counter-3 Hi Divide,C-Counter-4 Hi Divide,C-Counter-5 Hi Divide,C-Counter-6 Hi Divide,C-Counter-7 Hi Divide,C-Counter-8 Hi Divide,C-Counter-0 Lo Divide,C-Counter-1 Lo Divide,C-Counter-2 Lo Divide,C-Counter-3 Lo Divide,C-Counter-4 Lo Divide,C-Counter-5 Lo Divide,C-Counter-6 Lo Divide,C-Counter-7 Lo Divide,C-Counter-8 Lo Divide,C-Counter-0 Even Duty Enable,C-Counter-1 Even Duty Enable,C-Counter-2 Even Duty Enable,C-Counter-3 Even Duty Enable,C-Counter-4 Even Duty Enable,C-Counter-5 Even Duty Enable,C-Counter-6 Even Duty Enable,C-Counter-7 Even Duty Enable,C-Counter-8 Even Duty Enable,C-Counter-0 Bypass Enable,C-Counter-1 Bypass Enable,C-Counter-2 Bypass Enable,C-Counter-3 Bypass Enable,C-Counter-4 Bypass Enable,C-Counter-5 Bypass Enable,C-Counter-6 Bypass Enable,C-Counter-7 Bypass Enable,C-Counter-8 Bypass Enable,C-Counter-0 Preset,C-Counter-1 Preset,C-Counter-2 Preset,C-Counter-3 Preset,C-Counter-4 Preset,C-Counter-5 Preset,C-Counter-6 Preset,C-Counter-7 Preset,C-Counter-8 Preset,C-Counter-0 Phase Mux Preset,C-Counter-1 Phase Mux Preset,C-Counter-2 Phase Mux Preset,C-Counter-3 Phase Mux Preset,C-Counter-4 Phase Mux Preset,C-Counter-5 Phase Mux Preset,C-Counter-6 Phase Mux Preset,C-Counter-7 Phase Mux Preset,C-Counter-8 Phase Mux Preset,Charge Pump Current,Bandwidth Control</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>parameterTable_values</spirit:name>
+          <spirit:displayName>Parameter Values</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="parameterTable_values">4,1,800.0 MHz,8,4,1,1,1,1,1,1,1,false,2,2,false,false,256,256,false,true,4,2,256,256,256,256,256,256,256,4,2,256,256,256,256,256,256,256,false,false,false,false,false,false,false,false,false,false,false,true,true,true,true,true,true,true,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,pll_cp_setting10,pll_bw_res_setting2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mifTable_names</spirit:name>
+          <spirit:displayName>MIF File Property</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="mifTable_names">The MIF file specified does not yet exist</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mifTable_values</spirit:name>
+          <spirit:displayName>Values</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="mifTable_values"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_m_cnt_basic</spirit:name>
+          <spirit:displayName>pll_m_cnt_basic</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="pll_m_cnt_basic">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_m_cnt</spirit:name>
+          <spirit:displayName>pll_m_cnt</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="pll_m_cnt">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prot_mode</spirit:name>
+          <spirit:displayName>prot_mode</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="prot_mode">BASIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>m_cnt_hi_div</spirit:name>
+          <spirit:displayName>m_cnt_hi_div</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="m_cnt_hi_div">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>eff_m_cnt</spirit:name>
+          <spirit:displayName>eff_m_cnt</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="eff_m_cnt">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>multiply_factor</spirit:name>
+          <spirit:displayName>multiply_factor</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="multiply_factor">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>use_core_refclk</spirit:name>
+          <spirit:displayName>use_core_refclk</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="use_core_refclk">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>m_cnt_lo_div</spirit:name>
+          <spirit:displayName>m_cnt_lo_div</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="m_cnt_lo_div">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>n_cnt_hi_div</spirit:name>
+          <spirit:displayName>n_cnt_hi_div</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="n_cnt_hi_div">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>n_cnt_lo_div</spirit:name>
+          <spirit:displayName>n_cnt_lo_div</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="n_cnt_lo_div">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>m_cnt_bypass_en</spirit:name>
+          <spirit:displayName>m_cnt_bypass_en</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="m_cnt_bypass_en">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>n_cnt_bypass_en</spirit:name>
+          <spirit:displayName>n_cnt_bypass_en</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="n_cnt_bypass_en">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>m_cnt_odd_div_duty_en</spirit:name>
+          <spirit:displayName>m_cnt_odd_div_duty_en</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="m_cnt_odd_div_duty_en">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>n_cnt_odd_div_duty_en</spirit:name>
+          <spirit:displayName>n_cnt_odd_div_duty_en</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="n_cnt_odd_div_duty_en">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_vco_div</spirit:name>
+          <spirit:displayName>pll_vco_div</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="pll_vco_div">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_cp_current</spirit:name>
+          <spirit:displayName>pll_cp_current</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_cp_current">pll_cp_setting10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_bwctrl</spirit:name>
+          <spirit:displayName>pll_bwctrl</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_bwctrl">pll_bw_res_setting2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_ripplecap_ctrl</spirit:name>
+          <spirit:displayName>pll_ripplecap_ctrl</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_ripplecap_ctrl"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_fractional_division</spirit:name>
+          <spirit:displayName>pll_fractional_division</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="pll_fractional_division">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>fractional_vco_multiplier</spirit:name>
+          <spirit:displayName>fractional_vco_multiplier</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="fractional_vco_multiplier">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>reference_clock_frequency</spirit:name>
+          <spirit:displayName>reference_clock_frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="reference_clock_frequency">200.0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_fractional_cout</spirit:name>
+          <spirit:displayName>pll_fractional_cout</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="pll_fractional_cout">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_dsm_out_sel</spirit:name>
+          <spirit:displayName>pll_dsm_out_sel</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_dsm_out_sel">1st_order</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>operation_mode</spirit:name>
+          <spirit:displayName>operation_mode</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="operation_mode">source_synchronous</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>number_of_clocks</spirit:name>
+          <spirit:displayName>number_of_clocks</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="number_of_clocks">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>number_of_outclks</spirit:name>
+          <spirit:displayName>number_of_outclks</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="number_of_outclks">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_vcoph_div</spirit:name>
+          <spirit:displayName>pll_vcoph_div</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="pll_vcoph_div">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_type</spirit:name>
+          <spirit:displayName>pll_type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_type">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_subtype</spirit:name>
+          <spirit:displayName>pll_subtype</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_subtype">General</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_output_clk_frequency</spirit:name>
+          <spirit:displayName>pll_output_clk_frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_output_clk_frequency">800.0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>mimic_fbclk_type</spirit:name>
+          <spirit:displayName>mimic_fbclk_type</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="mimic_fbclk_type">gclk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_bw_sel</spirit:name>
+          <spirit:displayName>pll_bw_sel</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_bw_sel">Low</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_slf_rst</spirit:name>
+          <spirit:displayName>pll_slf_rst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_slf_rst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_fbclk_mux_1</spirit:name>
+          <spirit:displayName>pll_fbclk_mux_1</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_fbclk_mux_1">pll_fbclk_mux_1_glb</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_fbclk_mux_2</spirit:name>
+          <spirit:displayName>pll_fbclk_mux_2</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_fbclk_mux_2">pll_fbclk_mux_2_fb_1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_m_cnt_in_src</spirit:name>
+          <spirit:displayName>pll_m_cnt_in_src</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_m_cnt_in_src">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_clkin_0_src</spirit:name>
+          <spirit:displayName>pll_clkin_0_src</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_clkin_0_src">clk_0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>refclk1_frequency</spirit:name>
+          <spirit:displayName>refclk1_frequency</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="refclk1_frequency">100.0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_clk_loss_sw_en</spirit:name>
+          <spirit:displayName>pll_clk_loss_sw_en</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_clk_loss_sw_en">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_manu_clk_sw_en</spirit:name>
+          <spirit:displayName>pll_manu_clk_sw_en</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_manu_clk_sw_en">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_auto_clk_sw_en</spirit:name>
+          <spirit:displayName>pll_auto_clk_sw_en</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_auto_clk_sw_en">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_clkin_1_src</spirit:name>
+          <spirit:displayName>pll_clkin_1_src</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_clkin_1_src">clk_0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_clk_sw_dly</spirit:name>
+          <spirit:displayName>pll_clk_sw_dly</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="pll_clk_sw_dly">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_extclk_0_cnt_src</spirit:name>
+          <spirit:displayName>pll_extclk_0_cnt_src</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_extclk_0_cnt_src">pll_extclk_cnt_src_vss</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_extclk_1_cnt_src</spirit:name>
+          <spirit:displayName>pll_extclk_1_cnt_src</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_extclk_1_cnt_src">pll_extclk_cnt_src_vss</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_lock_fltr_cfg</spirit:name>
+          <spirit:displayName>pll_lock_fltr_cfg</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="pll_lock_fltr_cfg">100</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_unlock_fltr_cfg</spirit:name>
+          <spirit:displayName>pll_unlock_fltr_cfg</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="pll_unlock_fltr_cfg">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lock_mode</spirit:name>
+          <spirit:displayName>lock_mode</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lock_mode">low_lock_time</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_to_compensate</spirit:name>
+          <spirit:displayName>clock_to_compensate</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="clock_to_compensate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global</spirit:name>
+          <spirit:displayName>clock_name_global</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_freqcal_en</spirit:name>
+          <spirit:displayName>pll_freqcal_en</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_freqcal_en">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_defer_cal_user_mode</spirit:name>
+          <spirit:displayName>pll_defer_cal_user_mode</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_defer_cal_user_mode">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>dprio_interface_sel</spirit:name>
+          <spirit:displayName>dprio_interface_sel</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="dprio_interface_sel">3</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div0</spirit:name>
+          <spirit:displayName>c_cnt_hi_div0</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div0">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div1</spirit:name>
+          <spirit:displayName>c_cnt_hi_div1</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div1">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div2</spirit:name>
+          <spirit:displayName>c_cnt_hi_div2</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div2">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div3</spirit:name>
+          <spirit:displayName>c_cnt_hi_div3</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div3">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div4</spirit:name>
+          <spirit:displayName>c_cnt_hi_div4</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div4">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div5</spirit:name>
+          <spirit:displayName>c_cnt_hi_div5</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div5">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div6</spirit:name>
+          <spirit:displayName>c_cnt_hi_div6</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div6">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div7</spirit:name>
+          <spirit:displayName>c_cnt_hi_div7</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div7">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div8</spirit:name>
+          <spirit:displayName>c_cnt_hi_div8</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div8">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div9</spirit:name>
+          <spirit:displayName>c_cnt_hi_div9</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div9">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div10</spirit:name>
+          <spirit:displayName>c_cnt_hi_div10</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div10">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div11</spirit:name>
+          <spirit:displayName>c_cnt_hi_div11</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div11">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div12</spirit:name>
+          <spirit:displayName>c_cnt_hi_div12</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div12">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div13</spirit:name>
+          <spirit:displayName>c_cnt_hi_div13</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div13">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div14</spirit:name>
+          <spirit:displayName>c_cnt_hi_div14</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div14">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div15</spirit:name>
+          <spirit:displayName>c_cnt_hi_div15</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div15">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div16</spirit:name>
+          <spirit:displayName>c_cnt_hi_div16</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div16">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_hi_div17</spirit:name>
+          <spirit:displayName>c_cnt_hi_div17</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div17">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div0</spirit:name>
+          <spirit:displayName>c_cnt_lo_div0</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div0">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div1</spirit:name>
+          <spirit:displayName>c_cnt_lo_div1</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div1">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div2</spirit:name>
+          <spirit:displayName>c_cnt_lo_div2</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div2">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div3</spirit:name>
+          <spirit:displayName>c_cnt_lo_div3</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div3">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div4</spirit:name>
+          <spirit:displayName>c_cnt_lo_div4</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div4">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div5</spirit:name>
+          <spirit:displayName>c_cnt_lo_div5</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div5">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div6</spirit:name>
+          <spirit:displayName>c_cnt_lo_div6</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div6">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div7</spirit:name>
+          <spirit:displayName>c_cnt_lo_div7</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div7">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div8</spirit:name>
+          <spirit:displayName>c_cnt_lo_div8</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div8">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div9</spirit:name>
+          <spirit:displayName>c_cnt_lo_div9</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div9">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div10</spirit:name>
+          <spirit:displayName>c_cnt_lo_div10</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div10">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div11</spirit:name>
+          <spirit:displayName>c_cnt_lo_div11</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div11">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div12</spirit:name>
+          <spirit:displayName>c_cnt_lo_div12</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div12">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div13</spirit:name>
+          <spirit:displayName>c_cnt_lo_div13</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div13">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div14</spirit:name>
+          <spirit:displayName>c_cnt_lo_div14</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div14">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div15</spirit:name>
+          <spirit:displayName>c_cnt_lo_div15</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div15">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div16</spirit:name>
+          <spirit:displayName>c_cnt_lo_div16</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div16">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_lo_div17</spirit:name>
+          <spirit:displayName>c_cnt_lo_div17</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div17">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst0</spirit:name>
+          <spirit:displayName>c_cnt_prst0</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst0">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst1</spirit:name>
+          <spirit:displayName>c_cnt_prst1</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst1">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst2</spirit:name>
+          <spirit:displayName>c_cnt_prst2</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst2">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst3</spirit:name>
+          <spirit:displayName>c_cnt_prst3</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst3">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst4</spirit:name>
+          <spirit:displayName>c_cnt_prst4</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst4">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst5</spirit:name>
+          <spirit:displayName>c_cnt_prst5</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst5">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst6</spirit:name>
+          <spirit:displayName>c_cnt_prst6</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst6">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst7</spirit:name>
+          <spirit:displayName>c_cnt_prst7</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst7">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst8</spirit:name>
+          <spirit:displayName>c_cnt_prst8</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst8">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst9</spirit:name>
+          <spirit:displayName>c_cnt_prst9</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst9">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst10</spirit:name>
+          <spirit:displayName>c_cnt_prst10</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst10">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst11</spirit:name>
+          <spirit:displayName>c_cnt_prst11</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst11">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst12</spirit:name>
+          <spirit:displayName>c_cnt_prst12</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst12">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst13</spirit:name>
+          <spirit:displayName>c_cnt_prst13</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst13">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst14</spirit:name>
+          <spirit:displayName>c_cnt_prst14</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst14">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst15</spirit:name>
+          <spirit:displayName>c_cnt_prst15</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst15">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst16</spirit:name>
+          <spirit:displayName>c_cnt_prst16</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst16">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_prst17</spirit:name>
+          <spirit:displayName>c_cnt_prst17</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_prst17">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst0</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst0</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst0">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst1</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst1</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst1">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst2</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst2</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst3</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst3</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst3">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst4</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst4</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst4">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst5</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst5</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst5">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst6</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst6</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst6">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst7</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst7</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst7">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst8</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst8</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst8">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst9</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst9</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst9">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst10</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst10</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst10">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst11</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst11</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst11">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst12</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst12</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst12">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst13</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst13</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst13">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst14</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst14</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst14">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst15</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst15</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst15">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst16</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst16</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst16">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_ph_mux_prst17</spirit:name>
+          <spirit:displayName>c_cnt_ph_mux_prst17</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst17">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src0</spirit:name>
+          <spirit:displayName>c_cnt_in_src0</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src0">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src1</spirit:name>
+          <spirit:displayName>c_cnt_in_src1</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src1">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src2</spirit:name>
+          <spirit:displayName>c_cnt_in_src2</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src2">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src3</spirit:name>
+          <spirit:displayName>c_cnt_in_src3</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src3">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src4</spirit:name>
+          <spirit:displayName>c_cnt_in_src4</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src4">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src5</spirit:name>
+          <spirit:displayName>c_cnt_in_src5</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src5">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src6</spirit:name>
+          <spirit:displayName>c_cnt_in_src6</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src6">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src7</spirit:name>
+          <spirit:displayName>c_cnt_in_src7</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src7">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src8</spirit:name>
+          <spirit:displayName>c_cnt_in_src8</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src8">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src9</spirit:name>
+          <spirit:displayName>c_cnt_in_src9</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src9">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src10</spirit:name>
+          <spirit:displayName>c_cnt_in_src10</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src10">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src11</spirit:name>
+          <spirit:displayName>c_cnt_in_src11</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src11">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src12</spirit:name>
+          <spirit:displayName>c_cnt_in_src12</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src12">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src13</spirit:name>
+          <spirit:displayName>c_cnt_in_src13</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src13">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src14</spirit:name>
+          <spirit:displayName>c_cnt_in_src14</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src14">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src15</spirit:name>
+          <spirit:displayName>c_cnt_in_src15</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src15">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src16</spirit:name>
+          <spirit:displayName>c_cnt_in_src16</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src16">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_in_src17</spirit:name>
+          <spirit:displayName>c_cnt_in_src17</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="c_cnt_in_src17">c_m_cnt_in_src_ph_mux_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en0</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en0</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en0">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en1</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en1</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en1">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en2</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en2</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en2">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en3</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en3</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en3">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en4</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en4</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en4">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en5</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en5</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en5">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en6</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en6</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en6">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en7</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en7</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en7">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en8</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en8</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en8">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en9</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en9</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en9">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en10</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en10</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en10">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en11</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en11</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en11">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en12</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en12</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en12">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en13</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en13</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en13">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en14</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en14</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en14">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en15</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en15</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en15">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en16</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en16</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en16">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_bypass_en17</spirit:name>
+          <spirit:displayName>c_cnt_bypass_en17</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en17">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en0</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en0</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en0">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en1</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en1</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en1">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en2</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en2</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en2">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en3</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en3</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en3">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en4</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en4</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en4">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en5</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en5</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en5">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en6</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en6</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en6">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en7</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en7</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en7">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en8</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en8</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en8">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en9</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en9</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en9">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en10</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en10</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en10">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en11</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en11</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en11">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en12</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en12</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en12">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en13</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en13</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en13">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en14</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en14</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en14">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en15</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en15</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en15">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en16</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en16</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en16">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>c_cnt_odd_div_duty_en17</spirit:name>
+          <spirit:displayName>c_cnt_odd_div_duty_en17</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en17">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency0</spirit:name>
+          <spirit:displayName>output_clock_frequency0</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency0">100.000000 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency1</spirit:name>
+          <spirit:displayName>output_clock_frequency1</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency1">200.000000 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency2</spirit:name>
+          <spirit:displayName>output_clock_frequency2</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency2">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency3</spirit:name>
+          <spirit:displayName>output_clock_frequency3</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency3">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency4</spirit:name>
+          <spirit:displayName>output_clock_frequency4</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency4">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency5</spirit:name>
+          <spirit:displayName>output_clock_frequency5</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency5">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency6</spirit:name>
+          <spirit:displayName>output_clock_frequency6</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency6">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency7</spirit:name>
+          <spirit:displayName>output_clock_frequency7</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency7">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency8</spirit:name>
+          <spirit:displayName>output_clock_frequency8</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency8">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency9</spirit:name>
+          <spirit:displayName>output_clock_frequency9</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency9">0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency10</spirit:name>
+          <spirit:displayName>output_clock_frequency10</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency10">0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency11</spirit:name>
+          <spirit:displayName>output_clock_frequency11</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency11">0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency12</spirit:name>
+          <spirit:displayName>output_clock_frequency12</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency12">0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency13</spirit:name>
+          <spirit:displayName>output_clock_frequency13</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency13">0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency14</spirit:name>
+          <spirit:displayName>output_clock_frequency14</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency14">0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency15</spirit:name>
+          <spirit:displayName>output_clock_frequency15</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency15">0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency16</spirit:name>
+          <spirit:displayName>output_clock_frequency16</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency16">0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>output_clock_frequency17</spirit:name>
+          <spirit:displayName>output_clock_frequency17</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="output_clock_frequency17">0 MHz</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift0</spirit:name>
+          <spirit:displayName>phase_shift0</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift0">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift1</spirit:name>
+          <spirit:displayName>phase_shift1</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift1">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift2</spirit:name>
+          <spirit:displayName>phase_shift2</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift2">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift3</spirit:name>
+          <spirit:displayName>phase_shift3</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift3">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift4</spirit:name>
+          <spirit:displayName>phase_shift4</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift4">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift5</spirit:name>
+          <spirit:displayName>phase_shift5</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift5">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift6</spirit:name>
+          <spirit:displayName>phase_shift6</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift6">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift7</spirit:name>
+          <spirit:displayName>phase_shift7</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift7">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift8</spirit:name>
+          <spirit:displayName>phase_shift8</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift8">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift9</spirit:name>
+          <spirit:displayName>phase_shift9</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift9">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift10</spirit:name>
+          <spirit:displayName>phase_shift10</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift10">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift11</spirit:name>
+          <spirit:displayName>phase_shift11</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift11">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift12</spirit:name>
+          <spirit:displayName>phase_shift12</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift12">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift13</spirit:name>
+          <spirit:displayName>phase_shift13</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift13">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift14</spirit:name>
+          <spirit:displayName>phase_shift14</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift14">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift15</spirit:name>
+          <spirit:displayName>phase_shift15</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift15">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift16</spirit:name>
+          <spirit:displayName>phase_shift16</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift16">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>phase_shift17</spirit:name>
+          <spirit:displayName>phase_shift17</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="phase_shift17">0 ps</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle0</spirit:name>
+          <spirit:displayName>duty_cycle0</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle0">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle1</spirit:name>
+          <spirit:displayName>duty_cycle1</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle1">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle2</spirit:name>
+          <spirit:displayName>duty_cycle2</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle2">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle3</spirit:name>
+          <spirit:displayName>duty_cycle3</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle3">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle4</spirit:name>
+          <spirit:displayName>duty_cycle4</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle4">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle5</spirit:name>
+          <spirit:displayName>duty_cycle5</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle5">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle6</spirit:name>
+          <spirit:displayName>duty_cycle6</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle6">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle7</spirit:name>
+          <spirit:displayName>duty_cycle7</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle7">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle8</spirit:name>
+          <spirit:displayName>duty_cycle8</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle8">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle9</spirit:name>
+          <spirit:displayName>duty_cycle9</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle9">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle10</spirit:name>
+          <spirit:displayName>duty_cycle10</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle10">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle11</spirit:name>
+          <spirit:displayName>duty_cycle11</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle11">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle12</spirit:name>
+          <spirit:displayName>duty_cycle12</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle12">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle13</spirit:name>
+          <spirit:displayName>duty_cycle13</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle13">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle14</spirit:name>
+          <spirit:displayName>duty_cycle14</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle14">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle15</spirit:name>
+          <spirit:displayName>duty_cycle15</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle15">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle16</spirit:name>
+          <spirit:displayName>duty_cycle16</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle16">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>duty_cycle17</spirit:name>
+          <spirit:displayName>duty_cycle17</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="duty_cycle17">50</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_0</spirit:name>
+          <spirit:displayName>clock_name_0</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clock_name_0">link_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_1</spirit:name>
+          <spirit:displayName>clock_name_1</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clock_name_1">frame_clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_2</spirit:name>
+          <spirit:displayName>clock_name_2</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clock_name_2"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_3</spirit:name>
+          <spirit:displayName>clock_name_3</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clock_name_3"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_4</spirit:name>
+          <spirit:displayName>clock_name_4</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clock_name_4"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_5</spirit:name>
+          <spirit:displayName>clock_name_5</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clock_name_5"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_6</spirit:name>
+          <spirit:displayName>clock_name_6</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clock_name_6"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_7</spirit:name>
+          <spirit:displayName>clock_name_7</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clock_name_7"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_8</spirit:name>
+          <spirit:displayName>clock_name_8</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clock_name_8"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global_0</spirit:name>
+          <spirit:displayName>clock_name_global_0</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global_0">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global_1</spirit:name>
+          <spirit:displayName>clock_name_global_1</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global_1">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global_2</spirit:name>
+          <spirit:displayName>clock_name_global_2</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global_2">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global_3</spirit:name>
+          <spirit:displayName>clock_name_global_3</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global_3">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global_4</spirit:name>
+          <spirit:displayName>clock_name_global_4</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global_4">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global_5</spirit:name>
+          <spirit:displayName>clock_name_global_5</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global_5">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global_6</spirit:name>
+          <spirit:displayName>clock_name_global_6</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global_6">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global_7</spirit:name>
+          <spirit:displayName>clock_name_global_7</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global_7">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>clock_name_global_8</spirit:name>
+          <spirit:displayName>clock_name_global_8</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="clock_name_global_8">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>divide_factor0</spirit:name>
+          <spirit:displayName>divide_factor0</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="divide_factor0">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>divide_factor1</spirit:name>
+          <spirit:displayName>divide_factor1</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="divide_factor1">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>divide_factor2</spirit:name>
+          <spirit:displayName>divide_factor2</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="divide_factor2">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>divide_factor3</spirit:name>
+          <spirit:displayName>divide_factor3</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="divide_factor3">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>divide_factor4</spirit:name>
+          <spirit:displayName>divide_factor4</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="divide_factor4">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>divide_factor5</spirit:name>
+          <spirit:displayName>divide_factor5</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="divide_factor5">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>divide_factor6</spirit:name>
+          <spirit:displayName>divide_factor6</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="divide_factor6">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>divide_factor7</spirit:name>
+          <spirit:displayName>divide_factor7</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="divide_factor7">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>divide_factor8</spirit:name>
+          <spirit:displayName>divide_factor8</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="divide_factor8">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_tclk_mux_en</spirit:name>
+          <spirit:displayName>pll_tclk_mux_en</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_tclk_mux_en">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_tclk_sel</spirit:name>
+          <spirit:displayName>pll_tclk_sel</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_tclk_sel">pll_tclk_m_src</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_vco_freq_band_0</spirit:name>
+          <spirit:displayName>pll_vco_freq_band_0</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_vco_freq_band_0">pll_freq_clk0_disabled</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_vco_freq_band_1</spirit:name>
+          <spirit:displayName>pll_vco_freq_band_1</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="pll_vco_freq_band_1">pll_freq_clk1_disabled</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_freqcal_req_flag</spirit:name>
+          <spirit:displayName>pll_freqcal_req_flag</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_freqcal_req_flag">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cal_converge</spirit:name>
+          <spirit:displayName>cal_converge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="cal_converge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cal_error</spirit:name>
+          <spirit:displayName>cal_error</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="cal_error">cal_clean</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>pll_cal_done</spirit:name>
+          <spirit:displayName>pll_cal_done</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="pll_cal_done">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>include_iossm</spirit:name>
+          <spirit:displayName>include_iossm</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="include_iossm">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>cal_code_hex_file</spirit:name>
+          <spirit:displayName>cal_code_hex_file</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="cal_code_hex_file">iossm.hex</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>parameter_table_hex_file</spirit:name>
+          <spirit:displayName>parameter_table_hex_file</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="parameter_table_hex_file">seq_params_sim.hex</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>iossm_nios_sim_clk_period_ps</spirit:name>
+          <spirit:displayName>iossm_nios_sim_clk_period_ps</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="iossm_nios_sim_clk_period_ps">1333</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_number_of_family_allowable_clocks</spirit:name>
+          <spirit:displayName>hp_number_of_family_allowable_clocks</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="hp_number_of_family_allowable_clocks">9</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_previous_num_clocks</spirit:name>
+          <spirit:displayName>hp_previous_num_clocks</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="hp_previous_num_clocks">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_vco_frequency_fp</spirit:name>
+          <spirit:displayName>hp_actual_vco_frequency_fp</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_vco_frequency_fp">600.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_parameter_update_message</spirit:name>
+          <spirit:displayName>hp_parameter_update_message</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="hp_parameter_update_message">{altera_iopll::util::pll_send_message DEBUG {Starting parameter update messages: gui_output_clock_frequency1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_family }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_component }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_speed_grade }} {altera_iopll::util::pll_send_message DEBUG {-- in callback gui_output_clock_frequency_callback }} {altera_iopll::util::pll_send_message DEBUG { -- in update gui_output_clock_frequency1}} {altera_iopll::util::pll_send_message DEBUG { -- Updating all outclk values in order, starting with freq 1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle1}} {altera_iopll::util::pll_send_message DEBUG {-- done callback gui_output_clock_frequency_callback }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_family }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_component }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_speed_grade }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_dps_cntr}} {altera_iopll::util::pll_send_message DEBUG { -- in update_gui_extclkout_source}} {altera_iopll::util::pll_send_message DEBUG { -- in update_gui_cascade_outclk_index}} {altera_iopll::util::pll_send_message DEBUG { -- in update gui_clock_to_compensate}} {altera_iopll::util::pll_send_message DEBUG { -- in update_gui_pll_bandwidth_preset}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_vco_frequency}} {altera_iopll::util::pll_send_message DEBUG { -- Updating all outclk values in order, starting with freq 0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency2}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift2}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle2}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency3}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift3}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle3}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency4}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift4}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle4}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency5}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift5}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle5}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency6}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift6}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle6}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency7}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift7}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle7}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency8}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift8}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle8}}</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_qsys_scripting_mode</spirit:name>
+          <spirit:displayName>hp_qsys_scripting_mode</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hp_qsys_scripting_mode">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp0</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp0</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp0">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp1</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp1</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp1">200.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp2</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp2</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp2">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp3</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp3</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp3">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp4</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp4</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp4">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp5</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp5</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp5">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp6</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp6</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp6">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp7</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp7</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp7">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp8</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp8</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp8">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp9</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp9</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp9">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp10</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp10</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp10">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp11</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp11</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp11">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp12</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp12</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp12">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp13</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp13</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp13">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp14</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp14</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp14">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp15</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp15</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp15">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp16</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp16</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp16">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_output_clock_frequency_fp17</spirit:name>
+          <spirit:displayName>hp_actual_output_clock_frequency_fp17</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp17">100.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp0</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp0</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp0">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp1</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp1</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp1">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp2</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp2</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp2">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp3</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp3</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp3">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp4</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp4</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp4">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp5</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp5</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp5">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp6</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp6</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp6">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp7</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp7</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp7">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp8</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp8</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp8">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp9</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp9</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp9">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp10</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp10</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp10">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp11</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp11</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp11">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp12</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp12</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp12">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp13</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp13</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp13">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp14</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp14</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp14">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp15</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp15</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp15">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp16</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp16</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp16">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_phase_shift_fp17</spirit:name>
+          <spirit:displayName>hp_actual_phase_shift_fp17</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp17">0.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp0</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp0</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp0">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp1</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp1</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp1">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp2</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp2</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp2">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp3</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp3</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp3">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp4</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp4</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp4">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp5</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp5</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp5">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp6</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp6</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp6">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp7</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp7</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp7">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp8</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp8</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp8">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp9</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp9</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp9">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp10</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp10</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp10">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp11</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp11</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp11">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp12</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp12</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp12">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp13</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp13</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp13">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp14</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp14</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp14">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp15</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp15</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp15">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp16</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp16</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp16">50.0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hp_actual_duty_cycle_fp17</spirit:name>
+          <spirit:displayName>hp_actual_duty_cycle_fp17</spirit:displayName>
+          <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp17">50.0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_assignments>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string">
-          <ipxact:name>embeddedsw.dts.compatible</ipxact:name>
-          <ipxact:value>altr,pll</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.group" type="string">
-          <ipxact:name>embeddedsw.dts.group</ipxact:name>
-          <ipxact:value>clock</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string">
-          <ipxact:name>embeddedsw.dts.vendor</ipxact:name>
-          <ipxact:value>altr</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.compatible</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.compatible">altr,pll</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.group</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.group">clock</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>embeddedsw.dts.vendor</spirit:name>
+          <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.vendor">altr</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_assignments>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element core_pll
+   element iopll_0
    {
       datum _sortIndex
       {
@@ -4073,274 +3913,72 @@
       }
    }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rst&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;refclk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;refclk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;200000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;locked&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;locked&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;outclk0&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;outclk_0&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRateKnown&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;outclk1&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;outclk_1&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;200000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRateKnown&lt;/key&gt;
-                        &lt;value&gt;true&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;outclk0&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;outclk0&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;100000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;outclk1&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;outclk1&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;200000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>outclk0</key>
+            <value>
+                <connectionPointName>outclk0</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>100000000</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+        <entry>
+            <key>outclk1</key>
+            <value>
+                <connectionPointName>outclk1</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                        <value>200000000</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="locked" altera:internal="core_pll.locked" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="locked" altera:internal="iopll_0.locked" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="locked" altera:internal="locked"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="outclk0" altera:internal="core_pll.outclk0" altera:type="clock" altera:dir="start">
+      <altera:interface_mapping altera:name="outclk0" altera:internal="iopll_0.outclk0" altera:type="clock" altera:dir="start">
         <altera:port_mapping altera:name="outclk_0" altera:internal="outclk_0"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="outclk1" altera:internal="core_pll.outclk1" altera:type="clock" altera:dir="start">
+      <altera:interface_mapping altera:name="outclk1" altera:internal="iopll_0.outclk1" altera:type="clock" altera:dir="start">
         <altera:port_mapping altera:name="outclk_1" altera:internal="outclk_1"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="refclk" altera:internal="core_pll.refclk" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="refclk" altera:internal="iopll_0.refclk" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="refclk" altera:internal="refclk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="core_pll.reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="iopll_0.reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="rst" altera:internal="rst"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.qsys b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.qsys
deleted file mode 100644
index 54d51724bd607a1f157b66a1c62b4e59bf8d88c9..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.qsys
+++ /dev/null
@@ -1,595 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<system name="ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz">
- <component
-   name="$${FILENAME}"
-   displayName="$${FILENAME}"
-   version="1.0"
-   description=""
-   tags=""
-   categories="System"
-   tool="QsysPro" />
- <parameter name="bonusData"><![CDATA[bonusData 
-{
-   element iopll_0
-   {
-      datum _sortIndex
-      {
-         value = "0";
-         type = "int";
-      }
-   }
-}
-]]></parameter>
- <parameter name="device" value="10AX115U2F45E1SG" />
- <parameter name="deviceFamily" value="Arria 10" />
- <parameter name="deviceSpeedGrade" value="1" />
- <parameter name="fabricMode" value="QSYS" />
- <parameter name="generateLegacySim" value="false" />
- <parameter name="generationId" value="0" />
- <parameter name="globalResetBus" value="false" />
- <parameter name="hdlLanguage" value="VERILOG" />
- <parameter name="hideFromIPCatalog" value="false" />
- <parameter name="lockedInterfaceDefinition" value="" />
- <parameter name="sopcBorderPoints" value="false" />
- <parameter name="systemHash" value="0" />
- <parameter name="systemInfos"><![CDATA[<systemInfosDefinition>
-    <connPtSystemInfos>
-        <entry>
-            <key>outclk0</key>
-            <value>
-                <connectionPointName>outclk0</connectionPointName>
-                <suppliedSystemInfos/>
-                <consumedSystemInfos>
-                    <entry>
-                        <key>CLOCK_RATE</key>
-                        <value>100000000</value>
-                    </entry>
-                </consumedSystemInfos>
-            </value>
-        </entry>
-        <entry>
-            <key>outclk1</key>
-            <value>
-                <connectionPointName>outclk1</connectionPointName>
-                <suppliedSystemInfos/>
-                <consumedSystemInfos>
-                    <entry>
-                        <key>CLOCK_RATE</key>
-                        <value>200000000</value>
-                    </entry>
-                </consumedSystemInfos>
-            </value>
-        </entry>
-    </connPtSystemInfos>
-</systemInfosDefinition>]]></parameter>
- <parameter name="systemScripts" value="" />
- <parameter name="testBenchDutName" value="" />
- <parameter name="timeStamp" value="0" />
- <parameter name="useTestBenchNamingPattern" value="false" />
- <instanceScript></instanceScript>
- <interface name="locked" internal="iopll_0.locked" type="conduit" dir="end" />
- <interface name="outclk0" internal="iopll_0.outclk0" type="clock" dir="start" />
- <interface name="outclk1" internal="iopll_0.outclk1" type="clock" dir="start" />
- <interface name="refclk" internal="iopll_0.refclk" type="clock" dir="end" />
- <interface name="reset" internal="iopll_0.reset" type="reset" dir="end" />
- <module
-   name="iopll_0"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
-  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>locked</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>locked</name>
-                        <role>export</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>outclk0</name>
-                <type>clock</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>outclk_0</name>
-                        <role>clk</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedDirectClock</key>
-                        </entry>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>100000000</value>
-                        </entry>
-                        <entry>
-                            <key>clockRateKnown</key>
-                            <value>true</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>outclk1</name>
-                <type>clock</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>outclk_1</name>
-                        <role>clk</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedDirectClock</key>
-                        </entry>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>200000000</value>
-                        </entry>
-                        <entry>
-                            <key>clockRateKnown</key>
-                            <value>true</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>refclk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>refclk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>200000000</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rst</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_iopll</className>
-        <version>19.3.0</version>
-        <displayName>IOPLL Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>system_info_device_component</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>system_info_device_family</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_FAMILY</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>system_info_device_speed_grade</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>system_part_trait_speed_grade</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfoArgs>DEVICE_SPEEDGRADE</systemInfoArgs>
-                <systemInfotype>PART_TRAIT</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>outclk0</key>
-                <value>
-                    <connectionPointName>outclk0</connectionPointName>
-                    <suppliedSystemInfos>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>100000000</value>
-                        </entry>
-                    </suppliedSystemInfos>
-                    <consumedSystemInfos/>
-                </value>
-            </entry>
-            <entry>
-                <key>outclk1</key>
-                <value>
-                    <connectionPointName>outclk1</connectionPointName>
-                    <suppliedSystemInfos>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>200000000</value>
-                        </entry>
-                    </suppliedSystemInfos>
-                    <consumedSystemInfos/>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>locked</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>locked</name>
-                    <role>export</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>outclk0</name>
-            <type>clock</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>outclk_0</name>
-                    <role>clk</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedDirectClock</key>
-                    </entry>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>100000000</value>
-                    </entry>
-                    <entry>
-                        <key>clockRateKnown</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>outclk1</name>
-            <type>clock</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>outclk_1</name>
-                    <role>clk</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedDirectClock</key>
-                    </entry>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>200000000</value>
-                    </entry>
-                    <entry>
-                        <key>clockRateKnown</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>refclk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>refclk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>200000000</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rst</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
-  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></parameter>
-  <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip_arria10_e1sg_jesd204b_rx_core_pll_200MHz.ip</parameter>
-  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
-    <assignmentValueMap>
-        <entry>
-            <key>embeddedsw.dts.compatible</key>
-            <value>altr,pll</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.dts.group</key>
-            <value>clock</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.dts.vendor</key>
-            <value>altr</value>
-        </entry>
-    </assignmentValueMap>
-</assignmentDefinition>]]></parameter>
-  <parameter name="svInterfaceDefinition" value="" />
- </module>
-</system>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.ip b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.ip
index 9e8b24e40a8cd86429539faba071fc63b1414c72..cfab00f197e2d447c7fdeedc793195d533d401ba 100644
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.ip
+++ b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.ip
@@ -1,1564 +1,1446 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>Intel Corporation</ipxact:vendor>
-  <ipxact:library>ip_arria10_e1sg_jesd204b_rx_reset_seq</ipxact:library>
-  <ipxact:name>reset_seq</ipxact:name>
-  <ipxact:version>19.1</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset_in0</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_in0</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset_out0</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_out0</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedDirectReset" type="string">
-          <ipxact:name>associatedDirectReset</ipxact:name>
-          <ipxact:displayName>Associated direct reset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedResetSinks" type="string">
-          <ipxact:name>associatedResetSinks</ipxact:name>
-          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
-          <ipxact:value>reset_in0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>BOTH</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset_out1</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_out1</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedDirectReset" type="string">
-          <ipxact:name>associatedDirectReset</ipxact:name>
-          <ipxact:displayName>Associated direct reset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedResetSinks" type="string">
-          <ipxact:name>associatedResetSinks</ipxact:name>
-          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
-          <ipxact:value>reset_in0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>BOTH</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset_out2</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_out2</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedDirectReset" type="string">
-          <ipxact:name>associatedDirectReset</ipxact:name>
-          <ipxact:displayName>Associated direct reset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedResetSinks" type="string">
-          <ipxact:name>associatedResetSinks</ipxact:name>
-          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
-          <ipxact:value>reset_in0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>BOTH</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset_out3</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_out3</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedDirectReset" type="string">
-          <ipxact:name>associatedDirectReset</ipxact:name>
-          <ipxact:displayName>Associated direct reset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedResetSinks" type="string">
-          <ipxact:name>associatedResetSinks</ipxact:name>
-          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
-          <ipxact:value>reset_in0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>BOTH</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset_out4</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_out4</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedDirectReset" type="string">
-          <ipxact:name>associatedDirectReset</ipxact:name>
-          <ipxact:displayName>Associated direct reset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedResetSinks" type="string">
-          <ipxact:name>associatedResetSinks</ipxact:name>
-          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
-          <ipxact:value>reset_in0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>BOTH</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset_out5</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_out5</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedDirectReset" type="string">
-          <ipxact:name>associatedDirectReset</ipxact:name>
-          <ipxact:displayName>Associated direct reset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedResetSinks" type="string">
-          <ipxact:name>associatedResetSinks</ipxact:name>
-          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
-          <ipxact:value>reset_in0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>BOTH</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset_out6</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_out6</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedDirectReset" type="string">
-          <ipxact:name>associatedDirectReset</ipxact:name>
-          <ipxact:displayName>Associated direct reset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedResetSinks" type="string">
-          <ipxact:name>associatedResetSinks</ipxact:name>
-          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
-          <ipxact:value>reset_in0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>BOTH</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset_out7</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset_out7</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:master></ipxact:master>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedDirectReset" type="string">
-          <ipxact:name>associatedDirectReset</ipxact:name>
-          <ipxact:displayName>Associated direct reset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedResetSinks" type="string">
-          <ipxact:name>associatedResetSinks</ipxact:name>
-          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
-          <ipxact:value>reset_in0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>BOTH</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset1_dsrt_qual</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset1_dsrt_qual</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset1_dsrt_qual</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset2_dsrt_qual</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset2_dsrt_qual</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset2_dsrt_qual</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset5_dsrt_qual</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset5_dsrt_qual</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset5_dsrt_qual</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>csr_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csr_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>av_csr</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>av_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>av_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>av_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>av_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>av_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>256</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>SYMBOLS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>csr_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>SYMBOLS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>Intel Corporation</spirit:vendor>
+  <spirit:library>ip_arria10_e1sg_jesd204b_rx_reset_seq</spirit:library>
+  <spirit:name>reset_seq</spirit:name>
+  <spirit:version>18.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>av_csr</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>address</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>av_address</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>readdata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>av_readdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>read</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>av_read</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>writedata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>av_writedata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>write</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>av_write</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>addressAlignment</spirit:name>
+          <spirit:displayName>Slave addressing</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressGroup</spirit:name>
+          <spirit:displayName>Address group</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressSpan</spirit:name>
+          <spirit:displayName>Address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>addressUnits</spirit:name>
+          <spirit:displayName>Address units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>alwaysBurstMaxBurst</spirit:name>
+          <spirit:displayName>Always burst maximum burst</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">csr_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bitsPerSymbol</spirit:name>
+          <spirit:displayName>Bits per symbol</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedAddressOffset</spirit:name>
+          <spirit:displayName>Bridged Address Offset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToMaster</spirit:name>
+          <spirit:displayName>Bridges to master</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstOnBurstBoundariesOnly</spirit:name>
+          <spirit:displayName>Burst on burst boundaries only</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>burstcountUnits</spirit:name>
+          <spirit:displayName>Burstcount units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="burstcountUnits">SYMBOLS</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>constantBurstBehavior</spirit:name>
+          <spirit:displayName>Constant burst behavior</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>explicitAddressSpan</spirit:name>
+          <spirit:displayName>Explicit address span</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>holdTime</spirit:name>
+          <spirit:displayName>Hold</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>interleaveBursts</spirit:name>
+          <spirit:displayName>Interleave bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isBigEndian</spirit:name>
+          <spirit:displayName>Big endian</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isFlash</spirit:name>
+          <spirit:displayName>Flash memory</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isMemoryDevice</spirit:name>
+          <spirit:displayName>Memory device</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>isNonVolatileStorage</spirit:name>
+          <spirit:displayName>Non-volatile storage</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>linewrapBursts</spirit:name>
+          <spirit:displayName>Linewrap bursts</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingReadTransactions</spirit:name>
+          <spirit:displayName>Maximum pending read transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>maximumPendingWriteTransactions</spirit:name>
+          <spirit:displayName>Maximum pending write transactions</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumReadLatency</spirit:name>
+          <spirit:displayName>minimumReadLatency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumResponseLatency</spirit:name>
+          <spirit:displayName>Minimum response latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>minimumUninterruptedRunLength</spirit:name>
+          <spirit:displayName>Minimum uninterrupted run length</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>printableDevice</spirit:name>
+          <spirit:displayName>Can receive stdout/stderr</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readLatency</spirit:name>
+          <spirit:displayName>Read latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readLatency">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitStates</spirit:name>
+          <spirit:displayName>Read wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>readWaitTime</spirit:name>
+          <spirit:displayName>Read wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerIncomingSignals</spirit:name>
+          <spirit:displayName>Register incoming signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>registerOutgoingSignals</spirit:name>
+          <spirit:displayName>Register outgoing signals</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>setupTime</spirit:name>
+          <spirit:displayName>Setup</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>timingUnits</spirit:name>
+          <spirit:displayName>Timing units</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>transparentBridge</spirit:name>
+          <spirit:displayName>Transparent bridge</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>waitrequestAllowance</spirit:name>
+          <spirit:displayName>Waitrequest allowance</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>wellBehavedWaitrequest</spirit:name>
+          <spirit:displayName>Well-behaved waitrequest</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeLatency</spirit:name>
+          <spirit:displayName>Write latency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitStates</spirit:name>
+          <spirit:displayName>Write wait states</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>writeWaitTime</spirit:name>
+          <spirit:displayName>Write wait</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isFlash</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value>
+            </spirit:parameter>
+            <spirit:parameter>
+              <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>av_csr_irq</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>irq</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>irq</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedAddressablePoint" type="string">
-          <ipxact:name>associatedAddressablePoint</ipxact:name>
-          <ipxact:displayName>Associated addressable interface</ipxact:displayName>
-          <ipxact:value>ip_arria10_e1sg_jesd204b_rx_reset_seq.av_csr</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>clk</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>csr_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedReceiverOffset" type="longint">
-          <ipxact:name>bridgedReceiverOffset</ipxact:name>
-          <ipxact:displayName>Bridged receiver offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToReceiver" type="string">
-          <ipxact:name>bridgesToReceiver</ipxact:name>
-          <ipxact:displayName>Bridges to receiver</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="irqScheme" type="string">
-          <ipxact:name>irqScheme</ipxact:name>
-          <ipxact:displayName>Interrupt scheme</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>altera_reset_sequencer</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_in0</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_out0</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_out1</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_out2</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_out3</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_out4</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_out5</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_out6</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset_out7</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset1_dsrt_qual</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset2_dsrt_qual</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset5_dsrt_qual</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csr_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>av_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>7</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>av_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>av_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>av_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>av_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>irq</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>av_csr_irq</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>irq</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>irq</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedAddressablePoint</spirit:name>
+          <spirit:displayName>Associated addressable interface</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">ip_arria10_e1sg_jesd204b_rx_reset_seq.av_csr</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>Associated reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset">csr_reset</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgedReceiverOffset</spirit:name>
+          <spirit:displayName>Bridged receiver offset</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="bridgedReceiverOffset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bridgesToReceiver</spirit:name>
+          <spirit:displayName>Bridges to receiver</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bridgesToReceiver"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>irqScheme</spirit:name>
+          <spirit:displayName>Interrupt scheme</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="irqScheme">NONE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clk</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>csr_reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>csr_reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset1_dsrt_qual</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset1_dsrt_qual</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset1_dsrt_qual</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset2_dsrt_qual</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset2_dsrt_qual</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset2_dsrt_qual</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset5_dsrt_qual</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset5_dsrt_qual</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset5_dsrt_qual</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset_in0</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_in0</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset_out0</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_out0</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset_out1</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_out1</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset_out2</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_out2</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset_out3</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_out3</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset_out4</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_out4</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset_out5</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_out5</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset_out6</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_out6</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset_out7</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:master></spirit:master>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset_out7</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedDirectReset</spirit:name>
+          <spirit:displayName>Associated direct reset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedResetSinks</spirit:name>
+          <spirit:displayName>Associated reset sinks</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>altera_reset_sequencer</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_in0</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_out0</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_out1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_out2</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_out3</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_out4</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_out5</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_out6</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset_out7</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset1_dsrt_qual</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset2_dsrt_qual</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset5_dsrt_qual</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>csr_reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>av_address</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>7</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>av_readdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>av_read</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>av_writedata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>31</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>av_write</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>irq</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>Intel Corporation</ipxact:vendor>
-      <ipxact:library>ip_arria10_e1sg_jesd204b_rx_reset_seq</ipxact:library>
-      <ipxact:name>altera_reset_sequencer</ipxact:name>
-      <ipxact:version>19.1</ipxact:version>
+      <spirit:vendor>Intel Corporation</spirit:vendor>
+      <spirit:library>ip_arria10_e1sg_jesd204b_rx_reset_seq</spirit:library>
+      <spirit:name>altera_reset_sequencer</spirit:name>
+      <spirit:version>18.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="NUM_OUTPUTS" type="int">
-          <ipxact:name>NUM_OUTPUTS</ipxact:name>
-          <ipxact:displayName>Number of reset outputs</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="NUM_INPUTS" type="int">
-          <ipxact:name>NUM_INPUTS</ipxact:name>
-          <ipxact:displayName>Number of reset inputs</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ENABLE_RESET_REQUEST_INPUT" type="int">
-          <ipxact:name>ENABLE_RESET_REQUEST_INPUT</ipxact:name>
-          <ipxact:displayName>Enable reset request as input to sequencer</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ENABLE_DEASSERTION_INPUT_QUAL" type="int">
-          <ipxact:name>ENABLE_DEASSERTION_INPUT_QUAL</ipxact:name>
-          <ipxact:displayName>Bit-wise enable for input signal qualification</ipxact:displayName>
-          <ipxact:value>38</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ENABLE_ASSERTION_SEQUENCE" type="int">
-          <ipxact:name>ENABLE_ASSERTION_SEQUENCE</ipxact:name>
-          <ipxact:displayName>Enable reset assertion sequence</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ENABLE_DEASSERTION_SEQUENCE" type="int">
-          <ipxact:name>ENABLE_DEASSERTION_SEQUENCE</ipxact:name>
-          <ipxact:displayName>Enable reset de-assertion sequence</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="MIN_ASRT_TIME" type="int">
-          <ipxact:name>MIN_ASRT_TIME</ipxact:name>
-          <ipxact:displayName>Minimum reset assertion time</ipxact:displayName>
-          <ipxact:value>20</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY0" type="int">
-          <ipxact:name>ASRT_DELAY0</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset_in to reset0</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY0" type="int">
-          <ipxact:name>DSRT_DELAY0</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset_in to reset0</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP0" type="int">
-          <ipxact:name>ASRT_REMAP0</ipxact:name>
-          <ipxact:displayName>reset_out0 assert sequence #</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP0" type="int">
-          <ipxact:name>DSRT_REMAP0</ipxact:name>
-          <ipxact:displayName>reset_out0 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_0" type="int">
-          <ipxact:name>DSRT_QUALCNT_0</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset0_drst_qual</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY1" type="int">
-          <ipxact:name>ASRT_DELAY1</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset0 to reset1</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY1" type="int">
-          <ipxact:name>DSRT_DELAY1</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset0 to reset1</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP1" type="int">
-          <ipxact:name>ASRT_REMAP1</ipxact:name>
-          <ipxact:displayName>reset_out1 assert sequence #</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP1" type="int">
-          <ipxact:name>DSRT_REMAP1</ipxact:name>
-          <ipxact:displayName>reset_out1 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_1" type="int">
-          <ipxact:name>DSRT_QUALCNT_1</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset1_drst_qual</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY2" type="int">
-          <ipxact:name>ASRT_DELAY2</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset1 to reset2</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY2" type="int">
-          <ipxact:name>DSRT_DELAY2</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset1 to reset2</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP2" type="int">
-          <ipxact:name>ASRT_REMAP2</ipxact:name>
-          <ipxact:displayName>reset_out2 assert sequence #</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP2" type="int">
-          <ipxact:name>DSRT_REMAP2</ipxact:name>
-          <ipxact:displayName>reset_out2 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_2" type="int">
-          <ipxact:name>DSRT_QUALCNT_2</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset2_drst_qual</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY3" type="int">
-          <ipxact:name>ASRT_DELAY3</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset2 to reset3</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY3" type="int">
-          <ipxact:name>DSRT_DELAY3</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset2 to reset3</ipxact:displayName>
-          <ipxact:value>20</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP3" type="int">
-          <ipxact:name>ASRT_REMAP3</ipxact:name>
-          <ipxact:displayName>reset_out3 assert sequence #</ipxact:displayName>
-          <ipxact:value>3</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP3" type="int">
-          <ipxact:name>DSRT_REMAP3</ipxact:name>
-          <ipxact:displayName>reset_out3 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>3</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_3" type="int">
-          <ipxact:name>DSRT_QUALCNT_3</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset3_drst_qual</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY4" type="int">
-          <ipxact:name>ASRT_DELAY4</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset3 to reset4</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY4" type="int">
-          <ipxact:name>DSRT_DELAY4</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset3 to reset4</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP4" type="int">
-          <ipxact:name>ASRT_REMAP4</ipxact:name>
-          <ipxact:displayName>reset_out4 assert sequence #</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP4" type="int">
-          <ipxact:name>DSRT_REMAP4</ipxact:name>
-          <ipxact:displayName>reset_out4 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>4</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_4" type="int">
-          <ipxact:name>DSRT_QUALCNT_4</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset4_drst_qual</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY5" type="int">
-          <ipxact:name>ASRT_DELAY5</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset4 to reset5</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY5" type="int">
-          <ipxact:name>DSRT_DELAY5</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset4 to reset5</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP5" type="int">
-          <ipxact:name>ASRT_REMAP5</ipxact:name>
-          <ipxact:displayName>reset_out5 assert sequence #</ipxact:displayName>
-          <ipxact:value>5</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP5" type="int">
-          <ipxact:name>DSRT_REMAP5</ipxact:name>
-          <ipxact:displayName>reset_out5 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>5</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_5" type="int">
-          <ipxact:name>DSRT_QUALCNT_5</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset5_drst_qual</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY6" type="int">
-          <ipxact:name>ASRT_DELAY6</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset5 to reset6</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY6" type="int">
-          <ipxact:name>DSRT_DELAY6</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset5 to reset6</ipxact:displayName>
-          <ipxact:value>20</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP6" type="int">
-          <ipxact:name>ASRT_REMAP6</ipxact:name>
-          <ipxact:displayName>reset_out6 assert sequence #</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP6" type="int">
-          <ipxact:name>DSRT_REMAP6</ipxact:name>
-          <ipxact:displayName>reset_out6 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>6</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_6" type="int">
-          <ipxact:name>DSRT_QUALCNT_6</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset6_drst_qual</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY7" type="int">
-          <ipxact:name>ASRT_DELAY7</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset6 to reset7</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY7" type="int">
-          <ipxact:name>DSRT_DELAY7</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset6 to reset7</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP7" type="int">
-          <ipxact:name>ASRT_REMAP7</ipxact:name>
-          <ipxact:displayName>reset_out7 assert sequence #</ipxact:displayName>
-          <ipxact:value>7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP7" type="int">
-          <ipxact:name>DSRT_REMAP7</ipxact:name>
-          <ipxact:displayName>reset_out7 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_7" type="int">
-          <ipxact:name>DSRT_QUALCNT_7</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset7_drst_qual</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY8" type="int">
-          <ipxact:name>ASRT_DELAY8</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset7 to reset8</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY8" type="int">
-          <ipxact:name>DSRT_DELAY8</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset7 to reset8</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP8" type="int">
-          <ipxact:name>ASRT_REMAP8</ipxact:name>
-          <ipxact:displayName>reset_out8 assert sequence #</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP8" type="int">
-          <ipxact:name>DSRT_REMAP8</ipxact:name>
-          <ipxact:displayName>reset_out8 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_8" type="int">
-          <ipxact:name>DSRT_QUALCNT_8</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset8_drst_qual</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_DELAY9" type="int">
-          <ipxact:name>ASRT_DELAY9</ipxact:name>
-          <ipxact:displayName>Assertion Delay between reset8 to reset9</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_DELAY9" type="int">
-          <ipxact:name>DSRT_DELAY9</ipxact:name>
-          <ipxact:displayName>De-assertion Delay between reset8 to reset9</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_REMAP9" type="int">
-          <ipxact:name>ASRT_REMAP9</ipxact:name>
-          <ipxact:displayName>reset_out9 assert sequence #</ipxact:displayName>
-          <ipxact:value>9</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_REMAP9" type="int">
-          <ipxact:name>DSRT_REMAP9</ipxact:name>
-          <ipxact:displayName>reset_out9 de-assert sequence #</ipxact:displayName>
-          <ipxact:value>9</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_QUALCNT_9" type="int">
-          <ipxact:name>DSRT_QUALCNT_9</ipxact:name>
-          <ipxact:displayName>Deglitch count for de-assertion of reset9_drst_qual</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ENABLE_CSR" type="int">
-          <ipxact:name>ENABLE_CSR</ipxact:name>
-          <ipxact:displayName>Enable Reset Sequencer CSR</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="RESET_OUT_NAME" type="string">
-          <ipxact:name>RESET_OUT_NAME</ipxact:name>
-          <ipxact:displayName>reset_out#</ipxact:displayName>
-          <ipxact:value>reset_out0,reset_out1,reset_out2,reset_out3,reset_out4,reset_out5,reset_out6,reset_out7</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LIST_ASRT_SEQ" type="string">
-          <ipxact:name>LIST_ASRT_SEQ</ipxact:name>
-          <ipxact:displayName>ASRT Seq#</ipxact:displayName>
-          <ipxact:value>0,1,2,3,4,5,6,7,8,9</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LIST_DSRT_SEQ" type="string">
-          <ipxact:name>LIST_DSRT_SEQ</ipxact:name>
-          <ipxact:displayName>DSRT Seq #</ipxact:displayName>
-          <ipxact:value>0,1,2,3,4,5,6,7,8,9</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LIST_ASRT_DELAY" type="string">
-          <ipxact:name>LIST_ASRT_DELAY</ipxact:name>
-          <ipxact:displayName>ASRT Delay Cycle#</ipxact:displayName>
-          <ipxact:value>0,0,0,0,0,0,0,0,0,0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="LIST_DSRT_DELAY" type="string">
-          <ipxact:name>LIST_DSRT_DELAY</ipxact:name>
-          <ipxact:displayName>DSRT Delay Cycle# / Deglitch#</ipxact:displayName>
-          <ipxact:value>2,2,2,20,0,2,20,0,0,0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="USE_DSRT_QUAL" type="string">
-          <ipxact:name>USE_DSRT_QUAL</ipxact:name>
-          <ipxact:displayName>USE_DSRT_QUAL</ipxact:displayName>
-          <ipxact:value>0,1,1,0,0,1,0,0,0,0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ASRT_SEQ_MSG" type="string">
-          <ipxact:name>ASRT_SEQ_MSG</ipxact:name>
-          <ipxact:displayName>Assertion Sequence</ipxact:displayName>
-          <ipxact:value>SEQUENCE DISABLED (All Delays are 0)</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="DSRT_SEQ_MSG" type="string">
-          <ipxact:name>DSRT_SEQ_MSG</ipxact:name>
-          <ipxact:displayName>De-assertion Sequence</ipxact:displayName>
-          <ipxact:value>reset_in_deasserted-&gt; #2-&gt; reset_out0 -&gt;wait_dqual1-&gt; reset_out1 -&gt;wait_dqual2-&gt; reset_out2 -&gt; #20-&gt; reset_out3 + reset_out4 -&gt;wait_dqual5-&gt; reset_out5 -&gt; #20-&gt; reset_out6 + reset_out7</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>NUM_OUTPUTS</spirit:name>
+          <spirit:displayName>Number of reset outputs</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="NUM_OUTPUTS">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_INPUTS</spirit:name>
+          <spirit:displayName>Number of reset inputs</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="NUM_INPUTS">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ENABLE_RESET_REQUEST_INPUT</spirit:name>
+          <spirit:displayName>Enable reset request as input to sequencer</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ENABLE_RESET_REQUEST_INPUT">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ENABLE_DEASSERTION_INPUT_QUAL</spirit:name>
+          <spirit:displayName>Bit-wise enable for input signal qualification</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ENABLE_DEASSERTION_INPUT_QUAL">38</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ENABLE_ASSERTION_SEQUENCE</spirit:name>
+          <spirit:displayName>Enable reset assertion sequence</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ENABLE_ASSERTION_SEQUENCE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ENABLE_DEASSERTION_SEQUENCE</spirit:name>
+          <spirit:displayName>Enable reset de-assertion sequence</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ENABLE_DEASSERTION_SEQUENCE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>MIN_ASRT_TIME</spirit:name>
+          <spirit:displayName>Minimum reset assertion time</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="MIN_ASRT_TIME">20</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY0</spirit:name>
+          <spirit:displayName>Assertion Delay between reset_in to reset0</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY0">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY0</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset_in to reset0</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY0">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP0</spirit:name>
+          <spirit:displayName>reset_out0 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP0">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP0</spirit:name>
+          <spirit:displayName>reset_out0 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP0">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_0</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset0_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_0">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY1</spirit:name>
+          <spirit:displayName>Assertion Delay between reset0 to reset1</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY1">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY1</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset0 to reset1</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY1">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP1</spirit:name>
+          <spirit:displayName>reset_out1 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP1">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP1</spirit:name>
+          <spirit:displayName>reset_out1 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP1">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_1</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset1_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_1">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY2</spirit:name>
+          <spirit:displayName>Assertion Delay between reset1 to reset2</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY2</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset1 to reset2</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY2">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP2</spirit:name>
+          <spirit:displayName>reset_out2 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP2">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP2</spirit:name>
+          <spirit:displayName>reset_out2 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP2">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_2</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset2_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_2">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY3</spirit:name>
+          <spirit:displayName>Assertion Delay between reset2 to reset3</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY3">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY3</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset2 to reset3</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY3">20</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP3</spirit:name>
+          <spirit:displayName>reset_out3 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP3">3</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP3</spirit:name>
+          <spirit:displayName>reset_out3 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP3">3</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_3</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset3_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_3">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY4</spirit:name>
+          <spirit:displayName>Assertion Delay between reset3 to reset4</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY4">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY4</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset3 to reset4</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY4">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP4</spirit:name>
+          <spirit:displayName>reset_out4 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP4">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP4</spirit:name>
+          <spirit:displayName>reset_out4 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP4">4</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_4</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset4_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_4">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY5</spirit:name>
+          <spirit:displayName>Assertion Delay between reset4 to reset5</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY5">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY5</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset4 to reset5</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY5">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP5</spirit:name>
+          <spirit:displayName>reset_out5 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP5">5</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP5</spirit:name>
+          <spirit:displayName>reset_out5 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP5">5</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_5</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset5_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_5">2</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY6</spirit:name>
+          <spirit:displayName>Assertion Delay between reset5 to reset6</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY6">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY6</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset5 to reset6</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY6">20</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP6</spirit:name>
+          <spirit:displayName>reset_out6 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP6">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP6</spirit:name>
+          <spirit:displayName>reset_out6 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP6">6</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_6</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset6_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_6">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY7</spirit:name>
+          <spirit:displayName>Assertion Delay between reset6 to reset7</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY7">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY7</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset6 to reset7</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY7">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP7</spirit:name>
+          <spirit:displayName>reset_out7 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP7">7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP7</spirit:name>
+          <spirit:displayName>reset_out7 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP7">7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_7</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset7_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_7">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY8</spirit:name>
+          <spirit:displayName>Assertion Delay between reset7 to reset8</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY8">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY8</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset7 to reset8</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY8">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP8</spirit:name>
+          <spirit:displayName>reset_out8 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP8">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP8</spirit:name>
+          <spirit:displayName>reset_out8 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP8">8</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_8</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset8_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_8">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_DELAY9</spirit:name>
+          <spirit:displayName>Assertion Delay between reset8 to reset9</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_DELAY9">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_DELAY9</spirit:name>
+          <spirit:displayName>De-assertion Delay between reset8 to reset9</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_DELAY9">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_REMAP9</spirit:name>
+          <spirit:displayName>reset_out9 assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ASRT_REMAP9">9</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_REMAP9</spirit:name>
+          <spirit:displayName>reset_out9 de-assert sequence #</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_REMAP9">9</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_QUALCNT_9</spirit:name>
+          <spirit:displayName>Deglitch count for de-assertion of reset9_drst_qual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_9">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ENABLE_CSR</spirit:name>
+          <spirit:displayName>Enable Reset Sequencer CSR</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="ENABLE_CSR">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RESET_OUT_NAME</spirit:name>
+          <spirit:displayName>reset_out#</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="RESET_OUT_NAME">reset_out0,reset_out1,reset_out2,reset_out3,reset_out4,reset_out5,reset_out6,reset_out7</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LIST_ASRT_SEQ</spirit:name>
+          <spirit:displayName>ASRT Seq#</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="LIST_ASRT_SEQ">0,1,2,3,4,5,6,7,8,9</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LIST_DSRT_SEQ</spirit:name>
+          <spirit:displayName>DSRT Seq #</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="LIST_DSRT_SEQ">0,1,2,3,4,5,6,7,8,9</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LIST_ASRT_DELAY</spirit:name>
+          <spirit:displayName>ASRT Delay Cycle#</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="LIST_ASRT_DELAY">0,0,0,0,0,0,0,0,0,0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>LIST_DSRT_DELAY</spirit:name>
+          <spirit:displayName>DSRT Delay Cycle# / Deglitch#</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="LIST_DSRT_DELAY">2,2,2,20,0,2,20,0,0,0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>USE_DSRT_QUAL</spirit:name>
+          <spirit:displayName>USE_DSRT_QUAL</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="USE_DSRT_QUAL">0,1,1,0,0,1,0,0,0,0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASRT_SEQ_MSG</spirit:name>
+          <spirit:displayName>Assertion Sequence</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ASRT_SEQ_MSG">SEQUENCE DISABLED (All Delays are 0)</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>DSRT_SEQ_MSG</spirit:name>
+          <spirit:displayName>De-assertion Sequence</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="DSRT_SEQ_MSG"><![CDATA[reset_in_deasserted-> #2-> reset_out0 ->wait_dqual1-> reset_out1 ->wait_dqual2-> reset_out2 -> #20-> reset_out3 + reset_out4 ->wait_dqual5-> reset_out5 -> #20-> reset_out6 + reset_out7]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
    element reset_seq
    {
       datum _sortIndex
@@ -1568,813 +1450,813 @@
       }
    }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_in0&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_in0&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out0&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out0&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out1&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out1&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out2&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out2&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out3&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out3&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out4&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out4&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out5&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out5&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out6&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out6&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out7&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out7&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-                    &lt;role&gt;reset1_dsrt_qual&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-                    &lt;role&gt;reset2_dsrt_qual&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-                    &lt;role&gt;reset5_dsrt_qual&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;av_csr&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;256&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;SYMBOLS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;csr_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;SYMBOLS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;2&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;av_csr_irq&lt;/name&gt;
-            &lt;type&gt;interrupt&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;irq&lt;/name&gt;
-                    &lt;role&gt;irq&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedAddressablePoint&lt;/key&gt;
-                        &lt;value&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq.av_csr&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;csr_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedReceiverOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToReceiver&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;irqScheme&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;av_csr&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;av_csr&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='av_csr' start='0x0' end='0x100' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>av_csr</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>av_address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>8</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>av_readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>av_read</name>
+                    <role>read</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+                <port>
+                    <name>av_writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                </port>
+                <port>
+                    <name>av_write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>256</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>csr_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>2</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>av_csr_irq</name>
+            <type>interrupt</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>irq</name>
+                    <role>irq</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedAddressablePoint</key>
+                        <value>altjesd_ss_RX_reset_seq.av_csr</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>csr_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedReceiverOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToReceiver</key>
+                    </entry>
+                    <entry>
+                        <key>irqScheme</key>
+                        <value>NONE</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>csr_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>csr_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>NONE</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset1_dsrt_qual</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset1_dsrt_qual</name>
+                    <role>reset1_dsrt_qual</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset2_dsrt_qual</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset2_dsrt_qual</name>
+                    <role>reset2_dsrt_qual</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset5_dsrt_qual</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset5_dsrt_qual</name>
+                    <role>reset5_dsrt_qual</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset_in0</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset_in0</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>NONE</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset_out0</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>reset_out0</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>reset_in0</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>BOTH</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset_out1</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>reset_out1</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>reset_in0</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>BOTH</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset_out2</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>reset_out2</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>reset_in0</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>BOTH</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset_out3</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>reset_out3</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>reset_in0</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>BOTH</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset_out4</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>reset_out4</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>reset_in0</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>BOTH</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset_out5</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>reset_out5</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>reset_in0</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>BOTH</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset_out6</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>reset_out6</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>reset_in0</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>BOTH</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset_out7</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>reset_out7</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>reset_in0</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>BOTH</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>av_csr</key>
+            <value>
+                <connectionPointName>av_csr</connectionPointName>
+                <suppliedSystemInfos/>
+                <consumedSystemInfos>
+                    <entry>
+                        <key>ADDRESS_MAP</key>
+                        <value>&lt;address-map&gt;&lt;slave name='av_csr' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                    </entry>
+                    <entry>
+                        <key>ADDRESS_WIDTH</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>MAX_SLAVE_DATA_WIDTH</key>
+                        <value>32</value>
+                    </entry>
+                </consumedSystemInfos>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
       <altera:interface_mapping altera:name="av_csr" altera:internal="reset_seq.av_csr" altera:type="avalon" altera:dir="end">
@@ -2432,5 +2314,5 @@
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys
deleted file mode 100644
index 63fccf43ce61339cce4a3338faba6c328ac2ccb8..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys
+++ /dev/null
@@ -1,1746 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<system name="ip_arria10_e1sg_jesd204b_rx_reset_seq">
- <component
-   name="$${FILENAME}"
-   displayName="$${FILENAME}"
-   version="1.0"
-   description=""
-   tags=""
-   categories="System"
-   tool="QsysPro" />
- <parameter name="bonusData"><![CDATA[bonusData 
-{
-   element $system
-   {
-   }
-   element reset_sequencer_0
-   {
-      datum _sortIndex
-      {
-         value = "0";
-         type = "int";
-      }
-   }
-}
-]]></parameter>
- <parameter name="device" value="10AX115U2F45E1SG" />
- <parameter name="deviceFamily" value="Arria 10" />
- <parameter name="deviceSpeedGrade" value="1" />
- <parameter name="fabricMode" value="QSYS" />
- <parameter name="generateLegacySim" value="false" />
- <parameter name="generationId" value="0" />
- <parameter name="globalResetBus" value="false" />
- <parameter name="hdlLanguage" value="VERILOG" />
- <parameter name="hideFromIPCatalog" value="false" />
- <parameter name="lockedInterfaceDefinition" value="" />
- <parameter name="sopcBorderPoints" value="false" />
- <parameter name="systemHash" value="0" />
- <parameter name="systemInfos"><![CDATA[<systemInfosDefinition>
-    <connPtSystemInfos>
-        <entry>
-            <key>av_csr</key>
-            <value>
-                <connectionPointName>av_csr</connectionPointName>
-                <suppliedSystemInfos/>
-                <consumedSystemInfos>
-                    <entry>
-                        <key>ADDRESS_MAP</key>
-                        <value>&lt;address-map&gt;&lt;slave name='reset_sequencer_0.av_csr' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
-                    </entry>
-                    <entry>
-                        <key>ADDRESS_WIDTH</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>MAX_SLAVE_DATA_WIDTH</key>
-                        <value>32</value>
-                    </entry>
-                </consumedSystemInfos>
-            </value>
-        </entry>
-    </connPtSystemInfos>
-</systemInfosDefinition>]]></parameter>
- <parameter name="systemScripts" value="" />
- <parameter name="testBenchDutName" value="" />
- <parameter name="timeStamp" value="0" />
- <parameter name="useTestBenchNamingPattern" value="false" />
- <instanceScript></instanceScript>
- <interface
-   name="av_csr"
-   internal="reset_sequencer_0.av_csr"
-   type="avalon"
-   dir="end" />
- <interface name="clk" internal="reset_sequencer_0.clk" type="clock" dir="end" />
- <interface
-   name="csr_reset"
-   internal="reset_sequencer_0.csr_reset"
-   type="reset"
-   dir="end" />
- <interface
-   name="irq"
-   internal="reset_sequencer_0.av_csr_irq"
-   type="interrupt"
-   dir="end" />
- <interface
-   name="reset1_dsrt_qual"
-   internal="reset_sequencer_0.reset1_dsrt_qual"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reset2_dsrt_qual"
-   internal="reset_sequencer_0.reset2_dsrt_qual"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reset5_dsrt_qual"
-   internal="reset_sequencer_0.reset5_dsrt_qual"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reset_in0"
-   internal="reset_sequencer_0.reset_in0"
-   type="reset"
-   dir="end" />
- <interface
-   name="reset_out0"
-   internal="reset_sequencer_0.reset_out0"
-   type="reset"
-   dir="start" />
- <interface
-   name="reset_out1"
-   internal="reset_sequencer_0.reset_out1"
-   type="reset"
-   dir="start" />
- <interface
-   name="reset_out2"
-   internal="reset_sequencer_0.reset_out2"
-   type="reset"
-   dir="start" />
- <interface
-   name="reset_out3"
-   internal="reset_sequencer_0.reset_out3"
-   type="reset"
-   dir="start" />
- <interface
-   name="reset_out4"
-   internal="reset_sequencer_0.reset_out4"
-   type="reset"
-   dir="start" />
- <interface
-   name="reset_out5"
-   internal="reset_sequencer_0.reset_out5"
-   type="reset"
-   dir="start" />
- <interface
-   name="reset_out6"
-   internal="reset_sequencer_0.reset_out6"
-   type="reset"
-   dir="start" />
- <interface
-   name="reset_out7"
-   internal="reset_sequencer_0.reset_out7"
-   type="reset"
-   dir="start" />
- <module
-   name="reset_sequencer_0"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
-  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>av_csr</name>
-                <type>avalon</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>av_address</name>
-                        <role>address</role>
-                        <direction>Input</direction>
-                        <width>8</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>av_readdata</name>
-                        <role>readdata</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>av_read</name>
-                        <role>read</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>av_writedata</name>
-                        <role>writedata</role>
-                        <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>av_write</name>
-                        <role>write</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>embeddedsw.configuration.isFlash</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isMemoryDevice</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isPrintableDevice</key>
-                            <value>0</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>addressAlignment</key>
-                            <value>DYNAMIC</value>
-                        </entry>
-                        <entry>
-                            <key>addressGroup</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>addressSpan</key>
-                            <value>256</value>
-                        </entry>
-                        <entry>
-                            <key>addressUnits</key>
-                            <value>SYMBOLS</value>
-                        </entry>
-                        <entry>
-                            <key>alwaysBurstMaxBurst</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>csr_reset</value>
-                        </entry>
-                        <entry>
-                            <key>bitsPerSymbol</key>
-                            <value>8</value>
-                        </entry>
-                        <entry>
-                            <key>bridgedAddressOffset</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>bridgesToMaster</key>
-                        </entry>
-                        <entry>
-                            <key>burstOnBurstBoundariesOnly</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>burstcountUnits</key>
-                            <value>SYMBOLS</value>
-                        </entry>
-                        <entry>
-                            <key>constantBurstBehavior</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>explicitAddressSpan</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>holdTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>interleaveBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isBigEndian</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isFlash</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isMemoryDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isNonVolatileStorage</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>linewrapBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingReadTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingWriteTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>minimumReadLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumResponseLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumUninterruptedRunLength</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>printableDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>readLatency</key>
-                            <value>2</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitStates</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>registerIncomingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>registerOutgoingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>setupTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>timingUnits</key>
-                            <value>Cycles</value>
-                        </entry>
-                        <entry>
-                            <key>transparentBridge</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>waitrequestAllowance</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>wellBehavedWaitrequest</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>writeLatency</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitStates</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitTime</key>
-                            <value>0</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>av_csr_irq</name>
-                <type>interrupt</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>irq</name>
-                        <role>irq</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedAddressablePoint</key>
-                            <value>reset_sequencer_0.av_csr</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>csr_reset</value>
-                        </entry>
-                        <entry>
-                            <key>bridgedReceiverOffset</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>bridgesToReceiver</key>
-                        </entry>
-                        <entry>
-                            <key>irqScheme</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_reset</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset1_dsrt_qual</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset1_dsrt_qual</name>
-                        <role>reset1_dsrt_qual</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset2_dsrt_qual</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset2_dsrt_qual</name>
-                        <role>reset2_dsrt_qual</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset5_dsrt_qual</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset5_dsrt_qual</name>
-                        <role>reset5_dsrt_qual</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_in0</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset_in0</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out0</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out0</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out1</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out1</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out2</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out2</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out3</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out3</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out4</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out4</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out5</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out5</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out6</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out6</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out7</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out7</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_reset_sequencer</className>
-        <version>19.1</version>
-        <displayName>Reset Sequencer Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors/>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>av_csr</key>
-                <value>
-                    <connectionPointName>av_csr</connectionPointName>
-                    <suppliedSystemInfos>
-                        <entry>
-                            <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='av_csr' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
-                        </entry>
-                        <entry>
-                            <key>ADDRESS_WIDTH</key>
-                            <value>8</value>
-                        </entry>
-                        <entry>
-                            <key>MAX_SLAVE_DATA_WIDTH</key>
-                            <value>32</value>
-                        </entry>
-                    </suppliedSystemInfos>
-                    <consumedSystemInfos/>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>av_csr</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>av_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>av_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>av_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>av_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>av_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>256</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>SYMBOLS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>csr_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>SYMBOLS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>2</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>av_csr_irq</name>
-            <type>interrupt</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>irq</name>
-                    <role>irq</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedAddressablePoint</key>
-                        <value>reset_sequencer_0.av_csr</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>csr_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedReceiverOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToReceiver</key>
-                    </entry>
-                    <entry>
-                        <key>irqScheme</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset1_dsrt_qual</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset1_dsrt_qual</name>
-                    <role>reset1_dsrt_qual</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset2_dsrt_qual</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset2_dsrt_qual</name>
-                    <role>reset2_dsrt_qual</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset5_dsrt_qual</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset5_dsrt_qual</name>
-                    <role>reset5_dsrt_qual</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_in0</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset_in0</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out0</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out0</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out1</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out1</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out2</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out2</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out3</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out3</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out4</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out4</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out5</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out5</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out6</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out6</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out7</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out7</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
-  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>ip_arria10_e1sg_jesd204b_rx_reset_seq</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></parameter>
-  <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip_arria10_e1sg_jesd204b_rx_reset_seq.ip</parameter>
-  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
-    <assignmentValueMap/>
-</assignmentDefinition>]]></parameter>
-  <parameter name="svInterfaceDefinition" value="" />
- </module>
-</system>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_controller.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_controller.v
deleted file mode 100644
index 8a56d8ff5bf6ea31078fd3ba7cb4e108f1a6ce1d..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_controller.v
+++ /dev/null
@@ -1,249 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92"
-`pragma protect data_method= "aes128-cbc"
-`pragma protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
-`pragma protect key_block encoding= (enctype="base64", line_length= 76, bytes= 256)
-Oz0ds5xMQSXK5M1dlEPDCZeUy/28DxY0pGAfYATRgjMjFqvNaghfmKc9VRTqrJyGgHA0WIY88SmU
-V45SHqILpzmBpONfLybcUfgrijD2SvsVTQnlWxdZn4BsyzIPLfntC/49/FlgnTRYoLMM5nBJwdcv
-HVqkbII+mkkS2QoWUxKUwWtTeiz3KNMzPSwRl2UdR8aeZB39vELMvvctNhFm1MxamYmHi5wbKDEq
-Sd638HMfs2DBzEPD1OzlMsOgeiyylSltuCiOq10JhlsUdMLX6Aq0Sp4zjSd5Sl0Prag5e7qtlpd+
-EOFdio+tIS2FLZS1TUSI9E8OCSjOFBchxvzOHA==
-`pragma protect data_keyowner= "altera", data_keyname= "altera"
-`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 12032)
-Dbf3/WrbyWD7K1qT0SbAMDTxNvFIw+C/L3CExy5LjQCypo+/yp56SG5qGBGHZdjlDbC3kCnOxl5w
-FIucA1KkyFn/jSg8G4jo1ALOWyqsJQkZcg46dPCK/chMfaCGMSJ4Ou+F1YfQiG6mKzTiB6CJAhpt
-AqELnfe/EJ3LFg24xH8mgyJqMXDSBXKvwG0Vky6fv9syJxxYYnWgO01HvEYVnbW7aW/Y4f3kLimw
-CW+BWUXPyr2jq01HNJCFgyLZccoz7NKAuU3hLoecL8NxMYymAnOzdHjHtC+smeJwiFcUxSVCkrMt
-8PDpCV6yVqQvRQeL7Mc5pWCclycg+nYQSXyTuRzgnLizLj/Wjw5fQFqWRcEJWOy1TM7Yq4ZRQtGd
-K8J7ck8I+z2NYtotCcoJE+SdnTxJLmOOftTcTjfYLu9hMtnZmu89vgTy7tfdfg45gpVhhO6rW2A2
-AsrhBivz33UBjgwtAdH+UUcNWCFdRSmdqLWqN2WNpYVGqvCDzFP04Z95MNdEXTsO6IJ+byU460nS
-t1DEVcsXiEx+Kn5F8SUz3x2P7G4tJtryq2q4Xl0kETn7a74s5rM8XXdY4RHhJWVVIDk3/c3AZxz7
-pbduENDuiEqwFrNma24NDJQKG5vtsys4wHD3PPhbv4HP6GZSJxMaS9A0qlGkOxDoTWnmNKZUeryD
-fJrBSCfjtZG6Sj6dKKF+Cwd4K86Om6YXlUC8c7coFJTJaJUJG3zWibu2U0H9pxXLEMKQWgCzkbrs
-smooGACSI6cDUTxwBcSs5Ra3Rm6F5rGcFfLgpqZBES0lUjEBk4pTa4TxEhoO9GFX1SkKcSc43euL
-BehmGuarHcdFPcwgR/GQ0/dgs7ssVelH98cJ7eqofP3mL9L2+iA//VmT+Zq9u3BML19VbyFGvFrB
-Z9AP54W9eN045mrVKqoHeEgoDOVuzsD0npCr1VYYiOohCafF0X8Q2FI3YeCom9GDu3vUN0XFOT+H
-CPWfO6/l9hjdSVJGlTIaJ9CItQxe+tbFoJ+CXB8JtTTV6EVo6sWoYnhwyNN19K4Y5Z2dNaef0EcL
-c/8pgfzk8KOS0t7HfsN4H0GZZg3Ot6b/FYlRY9NHGeCkFySVL0SU5x5VqpZLgMdoB8LOJfT+Gv1T
-6/SXNnAzw2Y5fRS+WsN6jlj5e0eTQZAYl95AS/ZjBk5q+yL7s3CqIysGJZ2Bf5P/1VVJXgP6+m8Q
-FhPCh2vcAvbqQI8vPIRfL2puBKNgkrkHBPazfQTc4YuaBtZoXDZIqulRbautMZg1faSVjJyionci
-2FYxPm8XbMQgtx9a+kVg8KXCZ5Xwg0ClPIEcaday3gKPO4erOXhR040zdTp1bAMKUIdaEqty2QLE
-i0sNBgvR0LRBAYbuSPgZjOxOyRmqYTuLzQbLrI8y2oZzpyEXl1grZbUPfHJDWl4lzSsMGkWYUv8v
-1vreMnRhV6czGxhuYOANrg4z69dileJ1ge9MUqXroCIhSfRheNrri/RDj3mOGL5bfDPVYpt9bXf6
-LU0IRAug9FVZzcuHgnsRtPE+4dCkABMO5YGewlJaZcrXP1LaAdKnnGM5sbJWsp2jRb7VFrJGD/wW
-q/lISigtee+oiG7FiZTfI+zdy72K8By+8atjNVpCdY276uBjM8ZDJzrbrymwRgAJH+8t4tSSZ8nN
-0GtgDDT1ArL05jWzYd+sqtZeMn7K5dVf0g5CnXumY/aLU7Gk+GEn3vceQYPYSTgNbrzFevN8oYpZ
-3XXajqXedG4SkFprQmNjqgiSAiGPEaI6WnbclFZO5mrY4wPtTH3SEmn/kmYU8VXBaIdfAXAKtQZx
-I6oCa8/gL/nyRW4+ro94DYj58j/Zbz4RH6T3uPG9FO78DowtKL1temnSAsJRjIK0jhWupIjP+gFG
-ddOqnwlQYEwyT8eW8WBxm2LRwTdDE9ePgaJotCBdzxz1qHl5M8lGa9j1zqTBtZ2IQQBO36gRsri0
-ZZo/FEZqgO017FVUb2pY7Dtwwbtn6RDV6ANlUu8nkO3cyms53xP3iWRp+b67OTirsyclGXcSQ0Gu
-j5L7o677d/PN3T7aiKaTeTPMpawULyFNraEquTcPubWjdTO9t5jeVtdtENsgoknClD4kl8G1Nn6+
-odiC0Z0BCgdCVwDX4hmr3+3AYH9Ri1lfPTbmIl2XQO74QwyscDREc40WNQAbRO7cqGlH6RP5YKOD
-tlz2JwV19PbAkHmLSshbKH6zoRuFeodEbv94/5VAm48/ivTne9ASv09qRivJl022nWRzc8xf9R41
-15jKQmbF+NvPobmfgyNHDOdp+uyQB0p7RhMzb9XYuc3veiv+6ZhM7IIzDpuavIEALcKoQbh/RMkp
-J8OUfTkPiO/IH8m2vyfnsiOEKqwUG/zuTI5XgXn7KNTLox2zd7qCCYb5f3iBMP4xO/EPCnzgU7mT
-cnW5TyLo5o8wqg1tJVlX/uN0d1Mae/7vms0KA/JAV7JExyNwGxgTIvY7TFkXnABaMUJ9cBABEeTr
-Jr6J062oNX+JUm4EmipRhWNxB+NdO4Uyy5QkFuBfDKwn/UqPDa9Ud4a1kFeOGwauinkb4t14jmLl
-hnr23HdFJ+XQrDxSKHAQope1ND80poy3ila/Y8aEmROpcKxHMI35chNvZZOKjTOLefklUaZEZXjX
-FuQnCsZXTDQqjTUfTysF6TUPMt0D/jVLv7njWlum8Zas9K8IYwavZB1i1qNxlQxviECNNc/wx5FK
-K4MO6KOupZNeOvC871dSHJGRm8hw0R7VitQV4wm1EVqxgdNp4ys7kGAdqP8JFaHmFe+kygAkLYTt
-yo2bQ3N7bd1Oq13N2RVZkuhTHnRCZArXwV8nefpgRDYAt8TP8Rk8FfEu4mxS9KooH1H28iBbJwTZ
-QNDfC91rFrEJ1y1J90rzTzR5ODFqt5ddjAHBH9UbJyospxN5nXOI0aolheIcww3fCFyfaGB6FfGg
-8dSsiQi8uhAfKwzu1qLYn6R2doHDyFWVariVTbTkLdeL5fIbotX+5pZqso7i9lORn1u/VC3jnrcd
-66kaeC0M9aJk+0rWWul+vgwe1dtgRCMw+rUUXJTKI+QD1DPoKx0TU9fhk38QxInVcj/OUaLLJ4Nj
-R7oQQWTXnpK7rz9DLkGVoNdmk2qrQ3EtZb1pijb4LqaVKmWD62yf46P9I/rQNkfS8vo2IobAeFeC
-PxMKRAPS7RJFSsznrew0F0YLoJDLspy5/odzrkrEpNN+kjFG9593yrRjKkHsUxlMrKJClXJB2mlu
-bZ0H/7FViGJcFVAH+pkjLXYITFThwObfSt/CeG4BJazsZ0juVEW8xNBB0H9NEYoGWASUzMKO57Ae
-7ZG5JxDG8XBsqCxb49bHbFEhmkEx+w3FwJGt5qFisYTyBwudkMx0upBqonlU2h8dAivvKsotVf4I
-nTWhXcRBKOkdloY1EFHkF5KmSADVL1uIbtoAvywcLL6eG9zuhJBohjBV552evGWVRhj0/iDFL6QJ
-n7p4LfJpa5fvtqJA5sySa3eojisr1quhWyBAOkv9pAo5emjJh4UJq1yEcOdWNC23e3HwfDREbxsO
-3Ktm85qfpGOXLopdjLwePt9UkJrLQOBYqCDoE0ubkaqNXGVUSC6QWq7FusR7qpa5UqEXlyxM6TOp
-fTb+pikjhZ2xij0jYfETiz3z4F3EQkjLBuHQlGafBHLRU9ovY4Tkmn1CHsLWWDxVAShfd4XGCk7f
-AgxJaaGnOHq1bCaAYpPYQwNFfeCBKKC3M3ybMy6lEa2QUR29359zplWLFvkcaQVWlOI5XggRt9Y2
-F7Xiw2y4r7R58eJQ3sYnEwohyoGnMNAh/mjsokTJCaE1LkP4fmExM4bvHtDOpbomF6Gh6DaeiS50
-UQeBAwa+bicLVuqNIpKUzVNzRhnluFTAVU3EKOcY5cyTEd28P535l59reyunrmNFcEDMKeRrcRRr
-OdIvsJLX+C5/0KcAXt5HBij4LvBVGdkqRo7pCFmZS6X2XpSSkoGZRF4ydKZbPba8qQtoAlJdy/ww
-Ug2yatyIEU9Bm8vO/2HAp76hpkVxT3JuMo7c1QjGByBModuuQAOMFq4X3CwWfZsvB82ymMmC96XJ
-LLMBifwoh6RzoeQTEe1nbApWEJroTRVQg/r+KkcsHfT2UeAY8FzLzliycH7Vebw1CSkVQ48ZCD7+
-LqcJZlxl4/ltm72c8Fhe3MXkpS/qG2rZHwEvEmB/sDadxZg0nU/+pJaHkwoTt7Va5UDSajBQ40Ii
-geenEAhMphZMVwQGCYbbXBxvc5cncf/0M+lBocG6y42Rne03zuMld0SZx5y7xbPGJ58NCckAnZHX
-f7qh3vXHEeAPZJar3I+lXoljHqz/njm9hdIHShINdws/3PwuukFUt7gJs6vJ7VLWUDrqw4JSzqVI
-XKCHxofKi9+AFnZArg3yTmm5728NObSVb5eFAp25mlw6tbYdE+QIc5LGPXwsfg8zungdVgvKuo3k
-KAmEfycznggvWbuOCMakxytPsui9qkfqzm6RjRzHLUMBPt5c0z3CnWSmzvVzX7t79BjMKCz43kzY
-zYtZKQNld3e0PnECReahEJ6zrCKsiOl2n16HlqGdmgTldoMyjTVJ0t9QPd5TOWQftISFFE1XW062
-eWQ+q99U322XdJcEs6gxjwNBleQSYOamF9nZWRB8+FQ11OW6C6Xu6e+8hDMdz0dTIWiuJQascyJz
-tRtMkeBgB8jG4DqdHUx7hEBDJCvhMBfN0SSzC7Zo2On7h8x61sixZhusq8b0sZjzq/qt/tbiO6Kg
-5ey2cGC8EXPcKwm+6qj7+tHQJSy2bO0FT8AE7w0S+fLonLS68OzBlb+6L0dpzqfiTPa4RFudj7Y+
-m9eo8J5H4zy1kiitXCDIhomdZ1j56ldQ8We5VBF6LpFECZ6w90ByqU/KDeLcdXWcJIC/4pMj+/uh
-zvUxnljI3t20Xocm7y4LyyANzmUv75SVILIMK+9FWpXPk0PswEBum5ms9wC6DHHeKAwO/GNKVblQ
-nKZAh54ixj/HFuXkUnfYQ1NjRdc4VynjduRs8BhZTEm8DDu/YgiqYxq7RcV+P2jJES6VCn+HEC7B
-F/tywc1BUscRNX/cjfdV2tV+JZOLf9Iwpe90fvErRFptHrKkLE2xPExJR1eYz6/JQyOGtWhnXCSO
-XhyxZGF0P9/zb9byT5b8d+sEqRUVH8+tehKToz4Uw8wK+89oqANnQQlt/isXbdnqlvvkrZbJe5YX
-axiiesM+jq7JK0JZnJ+7BkqEW3o4BGEy9ojfoiBAsUsygswif/HKlyD4Xeq/jJmEFlF7bNpl1IBU
-SEG8AdBprsu47HBF/1/5MVvjznDgB8+eaIhvOJqS8+Tf57jWASy2L4r7nshZSnriU2h54x3CTgIP
-HEm6yFyGU6LZyC0KSrXPea2wgFm57WCcSKa4fv21gNH81LjmIK9G05R66dWTCZ0LKNDOG6h1PoTo
-lUrGpCJJtsqFwp29St/Y8p55SR5IHXvyzqwCRbpQT2HX1ejWT9tfv+ZYAMhVi5vRHz6BlYg0qNXn
-0fKyxHAob0PgNW4gXTlFtdm3GRthJ4ez8aEa8EFoLDGtjZhMhVFspGqYgM2iiEWFKvxP1Rw22XU+
-AMC9gipz942D15pGzaDOmA/zKV4Vw3E0Aa2rBKvcmHSyKwz6p1FLIWMfZz8Qd61DARD/mqbhqNQy
-6/avM1YZgAH3ifttmPJM9beAIqh63vG9xrjZByMANwkVaEcKV/N0axVcAs5XFeZ0V1XF9INffIcM
-d6yEsEDKZtBZuR9IUzqSc73U2tpmUXcy2myc9g8dt/NOicQaQc5/OF/Ht5Tdbhz5MRUOn4k6vz6o
-5GwmgwmmOk3RjnzBLkZ7WAItkga4Ws2RiLdRmB6NkhsqeArm6YuyWyoyMFOwSGct2UrJEMdbyAQC
-+mS1fUvEQ15k2IPJTDXzkXcLB8budtYxEdwSa3GOzbSzNiGdQZL7NwJ1Lvq0KgYyGOfUhcIgdX49
-VOkMp+Mp0jfFKfyDiaK3lRH21wg75eKiApNgpt3MydPu8K7KtVTXb+O3PMDIeV2oWdVv4CMQtuqS
-cmEprkX5e3eC2rBxgR5ye6pcj67YR/tDYO8DtAPke+xxfKTJzDZFQw/8VN1NLCojuZiNJfRZyfxa
-txM2ntQnFPtMUN13hVAExEND43tsV2NSkqr8d9I0ekZycew7U7SQ1LsP1sgLAOcNmZ+UGIqDpZQZ
-LpSSjQ6/Uzs9ZfcVe1+e0eTr8Bgpvwr06oYc4TFEpvyvvxnWQaEXzPH5sfPWz97BG38b2hbKs+pm
-RhOAXZIP2SrIeMdralQP6BFvHYMXiVm7spdTjnJ2wyULBZhKE6c6lUvNTBjS6hb9scLayAc5UTDb
-NOLURQTrlPDrrvVMkOdNMrQNCGRDXp41Y2pUU/1GpuavXMlyFNKZg2St+sBx/P1mnkCau1oBOmVw
-mKkGpSVINSK4ZsvDaAcCFhXZlFMfXNuzUFURvTftP73ByiZJG5mseZZAfTwDIQiXUqFQSV8cCw8M
-zQo7MQp5lTBRL9nzXd2njwPVOhIq61f+NUoDmltB/TzHqccxU2O1EUazG/826/AjUGqqDBZu+Mz/
-zHkQyehMtSAJ5jWfMfNYa5a0dItEU8q7avjsx2ysFWKGmgCFQUTfMOOcjAWgqwAk0DPRsGsh6doH
-sA9IHF+1882SNeKXKQGbThDSypeVYkltMhTBPWtR5IuYhJ/o/WDNAb7sr8PaNTIjXH+hC/vWx3ZP
-f19k9qybleMF5p3PE0OCa6t7ZIlqDeVy874KDn+9YsvPjLnLhmCLSW2W6uVOR5pZKbiGW0v2B5lO
-MiZOc5s2w63ZjzNXRS+Q/ULA3FtucnB8ov2J/OT+3306ZM0bL/Bo/i85edhF+lTUB9tI7JFD5iM0
-WrhD8ALzPyXXN4Frv/24xrj/NkoRTz68mO2IpX8f7O0+ucTKJW+ukTWwJoSa4iUapynNnwkJO6df
-6IJ7aDkX/J5p3ZKL1ql4Vn12Zp6CU5itUh1bwLs7al4G5JRVDbY0R3rU0P9sw4X9q0Ic57CdJ4Wz
-qYLzBkw+AgXpeRUgWrxIAqFd71vxYGHrTWHxXp3fedZS4pV4++KePkjH9QKHPTCnvry47D80/NBc
-3dFGCTZwEaacXxbPKT4mdO6pvdzZK5to09hBO3OSOIPqYsXkJLZA+d09hg3Z9wQthu7frJM96gB9
-YAU1AWJmnRzgHFChsbzGJIz/FbvAiTMnjhliFWGOugPL+g4sm1sCuKzsQhgoggpLQ7buDE9kSGtT
-nNMQiUhpxWzjrU7xYQZvIvnRm66EHrtp36TTTuk6jRab0OMbZjydpYREIIAd2v+6L0XBCauAaS11
-950Ye85aGlSo6S/PuF/iRhGio87biWMoj0faI0//0jsFH8ME+4Sr7pMEdbKRc0nd6TMcNclZJ1eD
-ZTLe3RHPZYBd+WftzgWrvgRMw2flImwqz99ia6jJc/7tj8J2wL9qLnO1gm7UznLXzVlWQTMQiAbj
-o0n638miFLvI2dzpqu8UcjWji//PJg3h7UzKfzRMv9bkFpM9PThu/UAp7voZZG0QJ0cUszwxm0h7
-QpN5qFTnnVU8zUvL9eSK3HrVAmorzTDcHP/g/esPw4ZWxLBwCHmlFsPxK4KAVRIw9otHZD0NvteE
-s6a1kbsxMJQJttTj1q6gWLz4iTyXs5GsAfGxrGZN0x/Gga3m9oNq4uIRvkGx48/AxUPzVXucUll3
-WHO2tzJ9fGlvfhCReUCbH/QeOyCphjUOvad0ORaAmoprHQuDMoOqveQ0FRR3RYEoylolMea/vfZK
-1tNJZQyuEUkRQ8oZ+lqLj311IL5MCvtePMk/vmVrgm42xnalDHwNylT0VBRUT2Ux3Brwtm2v9QIo
-7iYaam83cmoQqkX9dH3wyWnJdgJQNdJafBuFasp4tzS++kYlSsR0mZr0WRJrulQQxoZpQtf/mDxP
-eGOianIzRgb/oYxz79wNgVPry+Q3L6UqxAUCvNaQzc5wjfdAfGQk8uzo2yr1ai1ZRHCRo8bhgH4m
-aXf3sFsLGrWOVsCyl70lHO+c5k6n8boqj8Ix1NuT50X0219WCQm+Nnowj7y0ZS4zTidQxM4VFr7I
-ffsTNfA3OeIcy+8DoxBDPdRGGjPL7fL/9m0cmuOt3HpXTKWSQhJ1SB0nX9YeyQPWImNpc0EBkWJe
-hFQD/c8zEqe8mFTSNuJhb/BHV8zvavTAB/h2LKM8sF50TITCkwbgct3kzfKbPIsWu63DllZ9mjMM
-Pv1Aq2vcaETKH8oNMABfQhrmxwG0jxeMuagTa+azv/P4a0qZ1pF5nd3hphE+OiCAYfOZ/jCMxf94
-e6JUHyNTrLroFPhjHIScq09t+O6YzBrGGfHUu7E0n9d9nIltsqlC58Wi2eaJQXnr0286GNzKZqFJ
-L5InrOtPyGzHQ3RUc2XLrNzmaHhwDIARJrEwdZXXHSs8qZ0HypSmFuXOBsMJOwDrfsht4WXapL0O
-1XD0CL54PebBMYX1ApFfrhpi91EgokiNtrqQ1woJDpV8nusX+OVx2ZYUsw6sD1A9f1oQFmAOPjSO
-zuBraEr3sVmGkKhAW03Nj0/0kc9ciul0QP7Ufe+KKsIQBgP7W7j3G1gQUgjlsg/HJYqjboxzwvbS
-+CbkOtrLmtXshhtNO985aKnWxlhARlJ4S4JXEO3szkvd2Wm1T6//USM9OYTGURypPAJB6YCC8Tjc
-IAnggR9rBhEsAFlGSHFFfFEFqmf02RERaq21I/DY/ZjEE9MQUxaN6WRSPkz0zP6tb6CRCGidqFIL
-h45D7FusVAtkavGSzr7rqpawejhZLFFMP4zoGJUCo1izu9WR+6Jh2ayJlBQfOASePhijuz2KJEr/
-1uNDGQunOHgfPaSPU72GztF2FtiiNArGnOyGDOpxdBlUAWQv8lfaDS5iKPtoFtdEvHs0Wwxmm6Yi
-V7E3TYqRZnW+Y3nEXJvYgr26EWe0PLNvJPt36DghBSE4R3Zx3PZP6Zvj/8kL6au1qmyyJRyXtxBO
-pxacjR+nHhfnq5Rqpw573I+mbI0C4E5Xc9l04wIdbqqol5Dj4HZHw5cKAnS7u/6M4dwqRgFQpfJy
-0l2OHsLMGrq1fOdDV4daxViD2qLoPGVH+xuTmQA17joQ5MLFCAMD4rQwhVAoBuFMi5V05XxsGD4U
-Tp33LRa3CmGKi0afM8d7swpOyRP3ZQ6Zov0QNAFKQhliVbSpPM9EHqnzaQKkeWvKIKoY+4NjoPLP
-Jprs6hYP0uIQxAw2KGbo5QmsZVlRazrY1OpDiMFu3H/1677CC0axfe8Hgz4hNsQHsow0EENVfr8c
-VhbBUi1tKYqEMmKIbwxsiGFT8k7WzmIziFFQmmazq4VTULLYdkn7JkDgCStsXJlj0AnqdWlK4aic
-04+Iz+/+ccI/c509x0CVwPj7aSQVh7UaPB8EtjYgJsKagoHlOLgPSOs6A0xL4upma8VmVanTPxfv
-aqzOF+0faygJrvbxgPA+pPm0Z/9nIgZ7VrmdKrZs/cK5xLDfPURDxZC+2cjxiFVjOQaBARS6G9e0
-3xTlhUx3Q70jbR6pRhduJwq0gL7Y3XgZHJ4YdKLzUuJsmiyvFtXsLCbdEULmdWvjy6WaC5oybhLQ
-9m06z7IndJfU+1RlzuJdxuZbYu2wy5z3swUtOWMc3tDj+8ZIfySIwA+dtM5FGc6hbDG9GKr9BbeH
-0mBhCz3+NFT6XiHWz2CJhaiwqCsuNPsPxGB9LaxP3UnKJg9X84Ss3SewOBsEFErebE1PSONvyPNx
-25G5UVAGaOzgXfI4cDsrczTsAWB5H2qYs+X/Tt2G+fW32rqlNCScg9nCHU/vpY+4oFqQWAnJ3w27
-dPIWGJ5I1kpwGkdGac/oPiwaU0QgvtHjCmYyL67vT5fBobjJEArwg9rrawX6D/BlqrlZlj/CYdJA
-/SRM27NbCCAgRKqljfW7VEbejIJ5yDhSua40hpBHKiEvoadsux5j5GqGptzMiCk+gLhEBltRuWN7
-6ZEG4T4icVMx3ZhnaxmRA2zaKiNNa1EFA+Y7nAHWj2jM0OjnxTuYqzvK4QnIcbDieYZjqYH/7Cmw
-rjwhA2C2GBq3DyH/4udS8nTTOLrhV9WBmZ7ML+Nhr1x8VkIB4WgLmmJLt0Nog+12+zPCavYw2seU
-I4nYlIlRVNN77WwUxOL0vIjygbfHm0vL3gE8ElL0+jJoObbERtc2IPUJXhPBc6GvJB5+mv88TjYw
-wJbN/0fGFrk/4TMwiT3yJwsBsIM0gDXJqZvqdNe2MuyRl1t0mXzwtac7r9YASdhLiLZTlOav4/fp
-UR84lrtwkKEtkeP8iQT07JAJV5mC4OBwxWX801Onbo4Qq+n154/zEVUqbaTfbcsE3rcEk79G6x1e
-3QwiGmhes7K4gQW1yLu7UOF9t8bwXdb4OoSMnU+8/yRns4cqmtvqLNI27nsBlnKmpPP9TX5LAW7f
-E7zm4pviBnxvdQ4+XG0lYJK7OQUXwJwZCF8YpgWfk7MJiULE7jhl0q8zhSyN18qM4SBX41VlmfLd
-vkFtI4a3wNesBEvyMsnuyVL8MWPNTKtBN/UuM3P4/vXnAwWVwqItY2s9GmbPJqJ6SuVO+DxUgiju
-MvUT2mTZCFRu+ax938FiggDAc5uFbN6aM9G4ZmWJYj1xRFfXj4JEE8dq08hlCQQA+C5XHN6c8rxE
-KXg3nFCN/MhkcMDu9/nh3idt8FB1clk4anOdu5letl69GAQPd75gaKa2JQkLJxh0EawlqqbnUSaO
-Uu0Di3b+0rvr/IZTgaqM3Z86B9hcmEzyZkVeB2rK209D4DcBDWif6TmlGraLh4MkZM+DZWJ5EyUf
-Tpt76iU8x2Y+EODB4LzDMterVO+bdOYwLKnxpIIDh+TD5JixdyJxppP/sRu8aqrDNVT3187VN7QQ
-DC17XrpBhdyNsr/p3ydjFjXkw5qhWW1TrrnwjLJgIJN7ax5sMWmPI7ZDxOPriMuRo7SJE05ZrwX4
-AnCz+2aYVhI+NP/Kcb50OZ23e4zSMCMszyal+5J4cNm9uTLvCR9QvmxTngb1KNmQbWhFfGuMboMe
-CfGVga4+2OihMi750RzjLMnrAQ5vbXGeH9hWjEg6z0a/eZs1QxTYQWDfBsfY93Nq6us6PaTsBBso
-Zj43HrcuAKHc/+aLLRS4Tq3xpVao/h81UXFfla8Lw2rlNFGx2vYCsRJi3YHrAKDQJzPAhPUwemGx
-Njt07foAzNHxklXhd7Lhf26pd/QUmd+tKomaOfcRNdBf/ourGLEDr5n18TEIbPJKnUeeomY8FP6z
-wrhZ8gjGCo8ysyJ5pCSXHDSgjmwAcdtEcKaMs33/c6whxwqnHJjKHRU85gKcIcWO43I2YcFqJ/LG
-Ow5qjdc64db9VaOzm9oGoZqII2EeoRCLesAe8ImogRvJEt1XHca4uzOIBxh9f4/2krHFqpr2w/iJ
-mRsCTA34AQecSECndQdOA4xQwPZQ1vX/279iO/ylHCURDSLAmfCXvBGrg70RnPnn7FK4crBkuGyA
-ftgn5UFCtWN8/OrGruU4E3/wojoyujSrzTx0GMM+g5j0TVIF4VxfcktEk+B7/UjL0KWWTPwWSc9p
-BD2AKp0foKKXhPm/JhFgD/6dv3X2SwQ7WdW+kuq63+97vCaciLmR0QZAIqVa1OBbMWF+QazEr8tn
-cxbDwGxdG+ALrK0JdPkEiryKFwsLvGyZh/XISua3OTHZeOn3dNqIgT7vPc++S7RxjG8sSBoI6ALN
-GtYgkZ6+lMG1M9qRWYfbZ82HTijQBov6bwov5iQpw+aFGKMS9xV+tmZSK7G2wJFo1MWIQLNj7L2d
-UHTa6Cev/K4iitwcHZ47EUtV3ap1KJSGZ6Bzi6SfAI4GBUvq4PaiaXlfcNwUdKYJTmmWv2zMMUPl
-FLTUk8FdqzHZeQ0TSqqDVzC3tqu8nEByGVnoGMnP0i0XCVp3/Z++5ZpQLK7JaFIBnhSRxmae7Aod
-HoNIDVUUYu2TFHuSxt0SEcbqMEuvKivHFUemMqrrdZoBg60soNGUfn9CLJiEIYAJX9fa3XYzws6U
-WCyqsPtUIJbnQh2yx351jeFyNjPDxrsPQqAyzMKYPFAoabJ/9UWkVwBivzhjTrSNwakXbmTP4xyz
-i9GWtQHg+jDk/iaL1koDX0opXD92eol5oB2Mz4A5M8Tk1GaQ2EF6iGW+wkcUk6MeMASSFDTrCP50
-mz0R8RiUIlVQMIeiQzvfyKpTrn7erneHTCG87KjlDjYOkirYnOKqxDjcX9Ao2GfwjmSQoP/n9Apf
-2fayzBzH5NlD+UkOBWiEWl/PAgfitOyIb5I2ImslP8rQGhKvgYEa9xewt1rA5tZ6Qyh3+codiFbC
-kN0MElzipjaKXj0Iiarick7IqbuMd7UtOp8prOZwZWgQXP5rmlkph8vJXJwNuj1izSNhk7kObkoz
-eCuk4YaTrO0AaJsmMetk9eHOO1MjKpA++KuMj7lHWx0mWZmjIQOGP325uLVTRDPAb0q6cCxbEpvr
-wq1EsJrPEmYxG4gsLBemDLDZJhfl9l+wtQZdFzSHKoz+J2W/iogY4grWeyjBcRP3UeSfxglo08QX
-P3oR3X7tQ4TOftCIsW0YNW/r8xPMNFDjyVp8HnJEzwhmQrpYCKP7xS0PA89laIHEWXHxO1OYRBQy
-UGGbd9zs7OXQb5X4fgbs8MX7zQdSfZT47KBilje/3KFuJMdyrNm7DiVIbBUxk7/nqP6zi5KAGxpy
-xNcsgPZH1Nib47Ouu0cT9gtqBsyogAec5i9oi20tqjhWNg8/+osjBjMxKP+QtVfnCiZkDfJOASiO
-K8pBcVt5JMtU8m5ixbZfDr8wN5zhnAabS4mX6jHvDmtOlD8d8wM8LTWsfcNFdgQ63/UiLzyQQj9c
-zdj+BGhTFqCSXshG0qVSpCyXdm6VNRQB8IzdQfIOMazW8BAEU+awGt/6Nn00i52ezbzqdge+uiBM
-Rlh6gJgje4t5SIdufLL/zgUvntMg1zaWHC+Z6BtUjPEC+HSvqkYzhr8wix9Ojo8BVnwh4mRLzAgf
-loKjrr54Cl9uCeLiF6YiAD3UKeoY1WYTu2axMUgcM2nsJ9ioT5zPLavcaGpTquwbUcZPF7sPWbw6
-+uqaSVvgQt5HBdY2jD3UqY2Odvlrh+vNdM94OHswUCBv3Db5/+FQ45RDd08lBS/eo/XXtkCWRMEj
-zW5gxvYHjQAWBXlWmUHcy+ZZnNQu/+XXLeZYEPHJ+0R0mH/yKkudJGIRbbVfD52qc8lDw2VVqcr5
-CoTzg2SZBRv9mIfUPJCLYuHEAYNqXxBuiBU4oJKDBQjF6bjSHF1rQCk4k+/+0GzSqm1G1L9ogQin
-REOPUaH+o41JnIPMOgQgW9gi2IAkPWxKpgUX+DiUdeaB8qURQSKW9aCE6sTLK/h8edKDMgaQ3xwI
-6es44KNF0/fdVWTirp2CuQWkBNhBdHgltvsLBdcpCyHu3rK1hn/rjat+qLLy1CLVpSErhfwRhx0S
-fBkk/kUVOhL2drVGEaPSeyCkxOohXRIL46WVzmFCmQfmA5vhWiGmlfKO0evEwcWev/cmznBmPS8J
-7LnAR/hDIQera/dkrRVVZ3kzTeU/MAUlU7EDQZ71LHqhr7OfvXcbyw3VfHwSiur7kiZI7wnMjU1l
-/pzVngBKuxPLCM+LIavcitdIzdP9xKw3L7KozBKhw8uqcVbBPpVm4SdZkj/oqM/rVdGqgBwa/uJI
-ncokYzrKZdKgxLtPcnYNGSpwqWilCNDw01ofu22OJ9IkthlG689wOlZHf6hETHiSwVPo13xKisj3
-FeKBVTcRfMz9gsm6n3JhNWeG+Z6xA/eroshpihADe1uKx9Jghu/M8XaBnbD2/Rz/biJhEk4Gxw1l
-M5dBu8Fuud/E/3HbgdJ/9ACaW3Yz+mPAkAp9bzZwCBqinjePYXXGk6dknLmBXuRikNUcK1p/2u6i
-TCK3jp+ZjTl6AfBHrn+Rbu29Dqa2WVa0bjt7jwoa8+sYxupBeI0dkWDYXnOvuuDZt9dec/2GOL+z
-deHmj1XbAGB07N/sPuMNa509Sa+eNGDuTt8S9L+c8ge9/AHtCeqg63jbLlS7mCe+JDv0gzBiuHh7
-nYh9gscJL+yoen/J3FO5D42lG9ZV+alQlT7oMQYx1vZd/juJ0CwSgzpGShaXgoX5EiK9A38qJpkP
-Q1/BbozLcqWelGx3WfOcFFHS08wgFnIK2IH2c0CcHUPO99U3B/YsnncBvNjZ+oFK38JP6FygxphA
-wwrp1JeijrWlXipehcEpeeyo73eInPOJMWwaJvC/SUYycE2ccHvTN5lDiAQWQF8QBYXq6t/HQacn
-s6UZvP1kAhmD8RguKikEEqBi6qQ1yNpGSjjyPAV0aXmQD15JHQNP+004AHjT8m8mV3Q0HMLSMwjK
-7Cw21GGCq3LqbFz6ISgnZIqZPljU1S+e7K8MVsfjF16dDFrU733n5vm3fRYp7Bu6MTG3b9bIFhik
-fjZy5mjGL2j4PelOtULQ/32LAhBP7c9o7Q0teNIP0/AIf+zfbyDG5o4xTI8K6HP97ojzFQArL9qY
-98pQb5YtDuyHBE5aNLHagqwl10caI3k3UhvWIUCsRocmT08e6S/45FAuMZf50auVsJk+BlRdJaKX
-I2fJs2CGmlMLqju76x7qHlx6CiDG+4JI4TrI1k2++yfRNCH1SQqWdOW2wMhCb45veFxZnhlQ6mag
-OUNIGZShcBFbyp671VIjDZ223MOaJrDKgaPBcw7L4MZvg47ywdmkQ5vk98qJ84VbtfzGsVCwgnK3
-qvyyMMKdtdjnvzvtCPvNqw4xKbakkYRUcZft+CUyJa0Gk2q1txeYmOXsW4dwrWri4NLyOBzatmWn
-T0pvG/NfCyZmqOAGRh/mSn+eVX1RNfqdKW38I7fqQnOFkwFoyCNUTGepf3zK/Ob+behoRr3RuPXu
-29vG+z7nW+wr6h83Zz9mi+ykq8Z3eYhY7fueyZ6RXqT1FhvgIg6S9LQ1BeTBB5VJs7CX06Avpo4K
-THbcI6BFMz6enIM0pXUBRwHQ/FQssHWIBeW9Py9MVjHMDNkEDHuY5KdS90qfDL/U3wJ2kDIcTeKv
-WUpfdniQyupTxkg/XfUJgd1UnytoabhLDVUBLbn2UoU01ntOqHCNYZnlZXSuzlnJgO696NRhSH+1
-jJFi6oKpV0DkzAEhwYkW0tiueQBQuA5q9yT1mnp4iMBn5Ig9G4qag3mnTOjeTGuCQgif4mRpgEt0
-GfJ7ltVx7u+wuN/1OCw7zrh+OnaE/vmQPtJODfuMJbM2iD9mVuYKK+EytMc/8vhWe0m24dJyH9Du
-LyekVLNe+fjv7gu/ODdcPAs8F7Tx289+LhkgwxwThV9Kfpc8JNJj1uwaET6nup8nMblCOJFOdgWO
-4lMtX+H08Ix/bolLzulS6YRVq74gjXFeAwWqXAfxGt9X8l7ntwJIxKypf6HsBbchE6/hrJ2HJU7d
-kEOE0WMqd+xvKcvSiDuINT1zl6dU8KMxT3CXA9fG2SJIHbQIPr9d5+qhMQXg4oTWd+oQFntY4fnX
-R38IfGm/oXiED3UJbOlBf6zmtiu9cE6Yn6oPGCVIX74IgInv90SHYGiJnUaSAu/LIIbPS209SUI8
-jzcOniAd8TOW3PLzhiHj07qHqOHg4WKxQPkZGAXedkY7H/NprRHAFjzYlqbaeENebkoGfgUadZrf
-25hYDfnge7hZE4qPR5kgK7SGc+ZZi290VBKpCGBNQtWym6rcbMJqCrGspXntawsiA9U4erMhM5/s
-RAwYPq0h1CPkZ0Nj/hF6on8o5mGNfmxMsNOw0jkaiNkRS6tZWhsqTmAKmmiyShJGUTocCJLM4GI9
-rtCx+bhFbHdv7XXNlgTgQ0MEMGqR2bq4f9b4Cd1EKrXUMpwYdCiGeJkdIBAbopgzw8sO7HrTJQlv
-lCoN3jDFthOnIF5F68mfr6rYsHsKCn/wgsvP072FMn31g0muIHoVIc/Luwy6i7H/AiKYqTDhBOjb
-2gMzm0M=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer.sv
deleted file mode 100644
index 30354a76b351320695ec01d8989b90a00869b763..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer.sv
+++ /dev/null
@@ -1,485 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92"
-`pragma protect data_method= "aes128-cbc"
-`pragma protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
-`pragma protect key_block encoding= (enctype="base64", line_length= 76, bytes= 256)
-k9eyl0fEa3qA4M9WXe43eHhd03KcGJiGJnCvHUVGndLcH+3nfQgTgULPjRypzfvBtJ5Ku4P8hLfQ
-Hd/lsql7WZwzKjlNXzI9ClFKv3ZLVz2D2UstNO+98G5bGRbGSN8wGVBQinW1Ofud/TNKiDxvpXVi
-SZn+VLmGvtRj6iLlCAt8ag46fR/930KKlOTxlChnQ1tWIR01mh9n5pnA8w82ei7zjND3QVLy+IGa
-lyhUpH5w7GdU9wAu7mZzCvfPFZQgzEk9Vqo4T0wBNVln4cDyqXSixrwB3ikjUUC2Cz0AuhibX5ZU
-6yH345xqvKVTBGqCZ128Ob6mPSanDwy/UsBmbA==
-`pragma protect data_keyowner= "altera", data_keyname= "altera"
-`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 25536)
-XBiSKOxADG3C98ELGEv/gf0OklsB/cnAYxz/B7pK3KPKl+putxzRmuHFnIY+AUo9jNh6RrT8T0In
-GSFkkSe4pXWkWgUFVr7xWE/yOlkgHcyDSGEK63nbBDN+H/LtWO4EMikTGhgnwx/XbVsWz0cxlyT7
-Lc0dyoCBwPJhOySJLpqJMDuzaCbOMjW06hdYVpLExpLS/P1P1HIz12BVgmhNMd29aZwF8FRydfsB
-FsY3s3R8k7M8APiJEHPPG12bNplRMUnDCeT5RflwOGDsIWKyWAEynDy4JsA7UZfD9H/SXvR9VJ4p
-q21VwSQHYOpDKDxjRBp1v3lvNSJ4BA58zXIqOKiuWzk7flOP7rDXgUp9zFk0QvCpJDqT6aVrqrhL
-L+zXp1p7PUiAdSeO4jcsqAnswFdh9mjCTWbfyZ9LfBCQzwFLl5EEzqtWruiz8t0rBolYJ2HSiR+7
-8ws8JQaagtmkr3UIc3kAxhVXjZY+ioOzY/2Y3VDyD0tmfTfbI2PqIP30e+gTgZ75iOTjyR6RWI3/
-9W6dRMheFlzpvV0M2Qe4Yk0rG4kPBgvfHiqQsPQL7DXiwhNA/uhw2YQ1ODtqECUdZjX+wiqhtjkk
-9w/Ap7FFM6EDRkZQQLiqcJl7H5NiAcNeN2v/DT573GrkdM83KyMoFbbKmhhj8yT/oMYGxtmKg+F/
-IWO6lvRL6uOo98DyWnDWc8i+3oqEIcl7V9+MdYfT3khLoEi/VHVPQXOuKu02Mg8RTKw0TzzKqFHf
-hFgeOd8/ccN0RWsMAzR4hUmP8U/52uN1lEa9EGbX4hmT+QUJyUdMy3hnYrv/w5om4dzN75T+z8mX
-fZ361CguA10m8rs4peRhbHOfwaIfgb0KiQugQgQzgXVALpH/Vc/xi4zFejvdmsUww+XDkUTFisYo
-nYq7wZg4hd7QS79HlQSSOADmT5vgCPEitxuSP2ePvTiwA2DXVhejeRcM36mLbw6Ag7YIep8B7OqC
-9CmvfMwfZPT67YHvWpGqUiWLZRufuH4fQcuAkWwd6XCzSJSKQ41TCtEb6pn8RQuxu7RXP2Vv9eMp
-5wOTaRAfsbR9zcJ3MbzWzgjCsSxgqCgArOEVPIWMNCYUtwLfokPjX1JN0pedRiyvZp6h5fXhomVY
-QS2i4DWYlN1/HCebjaSFrrUaujjipo1itggt9f4PVHGJeI4OHNfyp81kEgLCaN0/yFUe2KcQo395
-Tb6+gGADq1gpJ2hmyNPIZwRqOd2+r1mppmKZmH6Uy9+ZPzxIv6HKEYaYg3L9DciHyCw87WF1+cBl
-wf5DG7nb5XzGtKnd2EmnnWiYcblviZfAYDIpw12qV1wWMOdX8M0AUZ0BFSviM+QLyV8IIbMEf/SR
-UlBiZyHG/l0NMB6CtHVTddSu+244HnDX/cq8Isb09K1Qp/Nn78qAP67MRAFr2YHpmE2FjEtEHbmt
-vSRoQSI/Q9Ia/TUtrsscLXHco4rm8pIxbNJz8kd1I9HlwfE+CeKkfyRbzCuKkSsGLEqJaKZISwg8
-A842h+34swSNr675/0HeShhvXgOgPGvckH3fNEj0LP78Tm2lCKoly0IQyrIgMKStPI8uYma06gWw
-m4qjoBJ3IP+lpC/mnxkxf+kkP/9d8im/KBQBIf6b2QWvcu2u1M9MnIhrKrR/XD8XG9oduLs7SoGG
-ZDD0XTj4xjIiNxAq2+6jWJL2t0dyP6acAzi30stOL/5TKuPwcaGC9d/Oh2mgqZg8iRVwK84Q78V6
-qHSt8elAOwHJijL38bPzSI4gDSHrjtYITd70+X2biFnIh6Nm2vT4elrXnXmmtW5oixQqnhu5IyI8
-WgZLiFHTzv/a99u/O+Nd23z8PcT7Rogka9jWC3QQ4J1yIZ8tpuOE+awCPmv/Bv906nxQS4mojFEb
-4AwPeMFHXCrryrvDq/Vb3kZv/0hIOrqG2i58gBuFGYRy/fm9Xj5BaaF4a6DFAANP8ZXaWz4ftG9j
-BkQTxgBvyhnBa27guMD54jwgiWLolBcYD43bw9LUo0fslq2Z0H/awsuqvEJ2TReL9xQjkjbenNoU
-jPViwTGl+KvM5zFBtn9TuC3dmcVH200x9+vZ3DvxBShUYl7XezsCmwRwV3QKas4IMvXF7tJflV3u
-o5G5MWmo1FAw1d5ST0ZGakdQWPGVmtaFEw7/vNTrnL90BTFG5TuQhpqd5z1hp6otsrzOtD2qf3T2
-/QLi+4XS6Kp6+kgxiXivOAiVhy+2so0uliPMjots7ZdkT5MZ+/dMLFhTDUY+TDuqH3AiNGrmuElp
-efKvvV+bwCdLFjW+0GIL+0vm5uPfzcQ9w/jHjkg3AwauzonFkvIfbwaHsZVk0ZBhe90OQf3EaSuC
-fN8Di5nQa27DzWYTLlBomkBnszhtYMs/bW2qVz6plVH5ub8mAaOkXKnbaLKjQ6lTivCrfjF0XsFt
-MlNMkITrIBid9NoL1XW5afdhOIcAx1vSmec4fRMLOk47OFCk/T0cHHFyaPijcgRtbkqgE+0nG90S
-2y94hC0c4M06zVFSJUT8kQrLMq1M39+KRoU23dEcLTOoxlUpO6QuVY0Olvo4KxdJZw/wEVUn0av2
-+rZg7/a96HrxYjNwqFK8nS7+vUOhJjr5AajAQb+/viNStSUGURD2JxbaIw1QeSTvyDmS2xF7hJgP
-10Xq2OIwPY4eeY1zPxNpOb5Kh+n+uVf1NhT7UzGlfTnLYn73jdQkPoogdj4/ODhIm/5P0kD7QHOa
-FU79mFbx3qYjQKr+O6EevvTRrtRpXiqe7rQclCJr7iYsLz9s6nP7IPo8CAJ7IRiydcIodRXjGqar
-Io9xMQaWHbLsF9cRVbHT7PYgD1N9EqpGlCC1aajVmK1uDRZqZgIB/9XzcydG8htU2IZTxELE1Fhm
-wFZdhnPqx0OLHHtHb2OnPgju71HSrg1YL8hMcGJ3THtTRdVanjOsi0ZiOSoBe1dQsJ21gRr8u87n
-EdC6BveHBP9AuS93GCUxMufZLqsK/R6YTBzbROyzpBQ7uB4zqDsJZcvb0YEOgWxDwVtJ6u+bsk2a
-N/4H4+CG+jQG1befCLUC/CDWjiO4f8HHZxDsAL0RchDovcA4ya6sb5+PyUyRMdEKjbN1ESXBOrgd
-DXJ9PESOokbQPYjM3kw1NgS23uBF4R1f8S7gx9ghYFz9c//z7g2wvDlV9O6gh2uh+W6hK5YUqtQS
-iMpfKSbPZXdCLnQjVcgzeLY/8VZBbNPZBtfHuCboP+lbISlWDedFL7dvrsTfbo7+w8DBB76vSPlu
-6D9QWbi+n0XH2Smm6vMbaFRoIcXLTgo+vlJbj/41IdPIu91FsWJPF0Gzif5mRu0nRyFgX/ngMpTr
-BZTMr1QRJCGGY/LP5yL25SF2qffftuwZSewqLTCnSVg6ow1z+EEcd0fi6S+jwx1xrSqlR84pN3q1
-zJkVF67vCnGCwBXFdbyEqPbifUiwyYC4JCFWViJ+SdPDLP0FZBTqPAbc9jVnLxsvls1R6tHx6fUj
-Po7Dc35cH1PX5FDy9scJ2TBry1elcHdvZ7HpQPDRltNLpEuFRAH9AbG5IJBm8/bBwbV40dVtEPdf
-8RdQsGUxOq0D350uhZsepDHczE4DLKtnFcEPKXOECipxASYwDuI3bsFGETUSeUKvV9WQA4QBbCzo
-RA1b04rLG7441CKvLl7h8MYl9T5hwXW+8fs14LnA2sZNEKSMDEI8CSG5SIdAphhuuhg1wMtDz3LB
-8Jxt+Zb+JF5+BRKw5/vfnfVhHYr0P6Os0jTMijdUK8+fP2kIvhHVXjkanSa7letS48J1cGHnJGps
-w2vgrXEWf74bzTfeXuHeBGu/QZRdDjIYEpsU+CzYNHLzXbdXT3H2fIWZukJ8FbBI/mLl89d9htLm
-E0NqGchIdM6UQ2L8yasjZGom8xxPloDPicLMxUioo5fEhIxmZ3JDN8wQGBUfDq1k7krS2SiiDLQZ
-h+i2CtcPlVwjIDn/4whw3dpnsdCZhBKs1WoJCS1pLBNfISD6r6pVJRlIBJRjPxX0Bc4zFIsVP5fF
-gBzAPAxvN5w8R23hbM6idE7KVUoc4FJq/Z3FvOJbOh/nIho7B0oVNsJIdz/So/xf9qh6cSS21HfG
-peNLAZg/BrRj2IeY5/jg4xlUxcD74SoBvy67+EZJrU7Q4qbQfTt4WTcmI5UOqHudeOltx+g76tjV
-68sTpYx6Ur7T5mKCcDADd2GghDHHN7UHy7UyaCJEGT9cO6AbEe9Ii9AD6RQUgKB6JVBqsYam5q9G
-OH21DvONWVryaM2Qy8AXBt8N7ulQL2aVZEbDtmCAm7dO0Y6ncNGqn5b2a5lduMxKAL93bqphmeGZ
-EAT9AoSdrjbJB4aKCDl8pvpKDMorkgw77NR4YEZYIRv/JNVcdejCbYLxdbKuTBaF/+AGIcyJZios
-VinxqT7YFhuMdfpbCzZNDjgEj0gAiRg3zboUrbFo5fvZFbHOIYYwCxVvnTCGUW6OOLKeCsVpZJi7
-I/OF/ITNvB+JR0BJLuI16k7sbRK5ojoU46yxPgxJVlReZeIwU4PdvRZ1zV/FRawhC6vzB+X4PkHh
-gNPQ5jiPgEa5Gd0unUVAXcIXrO0/xqgE/ko1Dr5L1TZ6LQUnm7X5qhg7kK8bEs33A5+Kl2MI4T95
-mLp6rVox6TBbz1ehay2+ZRX6W14RL+WrC2TK2iYA1dxH/mqSid0V/dZfjlgDln2efVqMB9Zla90b
-2TGbNnLdemkoOQeh7zBcAECXGpZG8w6dJHR8AMmWfNGLM8ijH4dRGowhrIE2xmc7EMjsgj5khN3f
-HDTidPurK+dxhyTciHsTWUeRytUlqJqsxx7i2dVCNssPR1sng8JU7phtmGWMJ2bU6HhJyMiTOeYc
-RDmHYeZYMeYpqveiv6LClvg3BmxUoLmcmShaX2CjEHrXAvKX4u3HiXv2Do0WJ/7yum4nDQlgvF66
-Ub6sg4TbJxApvg2XVGAQseyIizFWSMnEaUsdQnPf056QjUbzoR/d2NiCMoU0QRKA2CzepyQsoQ4e
-9CJTscejn40CZZd34CxdA037mJXea7HtohnIYLEFuG3ezR+H/0aXJniJAgLeuMKL/kLYTPWZyYZ1
-o/shAchicjX0dMfrYHAfmStb++Wzvmvbb6JESy5Rt7BfR0VTuLJdPPrnPy/G2LUlPl6BGgQyDbTP
-dNqA9ag2aOHrG8acRPZbFqKYiuz/4kwVs2suvnjBrMNEqcARvoVY4wbxawaBVqcSN9UMOvA1td8e
-P0DbeBJWnUL2y4t1uE7jSxeBe3AyHyyviNqaGfvl2DrJW51HNIw9NZctlgUQI2i7C/oK7rk4xbBR
-3Op0mY6nlEaBgov9HLViNEPUy9CNpT4772dAIQKZkR6/29bT4DTmD2X5t5J5dtCWFfiFpdlJrVGo
-VnaHo41dL5k0ui+4NneK/Sxb8Tl5Z9eluH5yaj2oPqlpALEC4Idgo6LUpUpw2eJxzuzVRJ3oEMgp
-o/+JBdzsM3iWRDS3PZH/7/hk+nBR+p8ZxVXuvM+8hgFRYpHm0+fzH9sPfSU86InKEijT9hGPB708
-XaHsaBuFuxR9Cj/6UlO5H5T3xqH/Huo5uIlgX0g4bjEZBUwyG7cKFl83RQeOK7z6P2vyTT0NKb6h
-wv4siaWNKcju4DXHZ5Km8o7bb9+03chg0pdawlzDQ+u9YO2fWEmyvB/E0+az93iDSLRsO/rMLNSg
-+n+WZQzyDcKy7APu+adrvL7WQaDnNN6CfdRLyvsqdtFWez37i2I4yZeTH14yTUuI6OheXkFcC0ay
-sP2ZvDqmmo8EuUvYGJt4D7NATAQCbzePXdRhLYOAQCyUtASrrwbLy+6r3iitfIPsT6srO5QPr5FZ
-dyKHTMD0Gfx1IL1M+M28Giq/9ql0Xhx35hF5oYvKjsBIdLd+WKlQ82VhT+qmX53MN0pt1glAKmm1
-ZsbU6bQHfdfT9cPwFqeIgdzr9E30OV1x8Cw/X18+jXAn7aoqeroIQjYyz4/K2XfjgjpeGIRWWauR
-7qvEHMw4/GF/BljJSqRx7a9IH61L5wzYK/cM7kuTZ+q6kyn8xGEwTlF2jXZoQ1EQV1J5C6lnFUlz
-nkM/5tw+suec7IiK1gP0DDwbw/bpvRmezRhjQPkmWCxFoR1pBOOscoigmI5T5d4n5Oz46cZDdl8K
-+7RtkbmHATPHgIlbcx0zxmmfgYzTICBVl08ntMBRmp/luPJXwaOEFzV5LIP90+CkfN/9xoT3ivIg
-sLP+acxcvP1we83lB3lCQPgFASBsGrACRgN3LftSZXNZO2o5ytUUw2ZwUhkPmiqqAaIPIlj9iyE6
-2IkHI8esa8RbCk0gh3669xZPesN0Z+ht+bgPHLwdOHeShaBx/JNrh+rK6IFPFnK1lKAe7vyLIqs6
-6RIrqGkOjPjJZj9769dsGppDfwzKT6yvAYyjZjC3oROytsicbYWcvBL9RQcU+Cjys0HisQBVwDii
-akkvTh6ZgdWa+hEwSPNihfR5J6iJRdrRbiXUxF4GVQU+312FxlVoXWCPw+AHe4N3j5UkmpC/awuK
-kPm5nMfn/53KW0dBAeaepMjwarHBqsYE0H4DL8k9FeBDUUEx9W/YxwgJs+o9WeqlE5GfSGRxwVgL
-BhmJtbJzLdyXYcVliuff0I95Mvg0uCWbd0T2EsFu9/9oF+vRZYR/DWZ2Zh25Y5MlWcNJJmSKgV6e
-1J8zJZSP/homThNZWdKfYY3eqJaFnRQXyUGlNqYetSZSlE4bgrqjQi9Lfabdd3y3JMoz7zWKGX28
-0dSh2ERlGZfwRIQcEKVBXLSOycbZBZbXasVPUGPuCP+aFpZRRkxJiOy6XZPR3a3vHhiqHeqMt0sR
-Yy7l9JVnlCLBJrXuaRYLNE+jFGDmgywoiVFjAMTyPBibmrwANFf30bueVQZYWnJTJ9Fc60rcQpfe
-yCmZi2CUA7zR78JcVNtVFbI9tCldNJmsJB6vXmXd0EQafH1b8Xsv+/HrQs7Yh/tc+rrYS1drE3Qk
-aKyIDxCrkfM439rJh5VZWY7dlUuw5cbZ4CblaAe1uU0PELtGW3Ja4G9mL0BzpWOGjsFocNFeq8kL
-UaoEpPoCiiVZGEFfhI7Nitzb7m0uoJEEZMZJqd3tkfSuGMWs1M/Wvo8j8rc5SVmMbabpMvh/QMUh
-p6USuM2o5EUspCcqY/sAzTAQvaoZyltWVcJPnkhqGPioNKk7+WRMG8H52IHE3kFBIeukZ6QbB7lx
-MtOOO54uT2Uk/JwjVKH2tua4RAQncjHigl+eUbUXZmgNr5tiso6zQZGMP3ny8wscDs+pTnnG8AkJ
-weH16jt7VgMZfKCpMrIb5ABmg0jamKJBvTWSdRFMj+MmiB2AtSXLrBkwFn/HZR5gY1ewQqcqw7v2
-RnR3z/vOXt7B1DlQ6fc3On9yGtGR+AODgtZXYh4heMLEcBixGB5haO3QteH2MN1Ho1iXG7+PIXeK
-0fUaX6cFMm5IQOS7/4WFRRUQTyqmm/9nSI4ymXcv+kb5d8Gz4WczTHOQ0O9J6rkG2fH0UJd8vc8i
-n1e0hbj7I/ZEPRcqbiG7kmvDDEFH6GJTTmQYVOXzkMxwwxcbnDvuiw/jYu9ecdWienglQet55BdI
-pMpvdR/vl2jfrr8vGFU5vqsg1cvV2lyZR1D6D3MNpKcq2G3i+6P64QV4PadWNEs+/lFKnzQzKj88
-jO9ZnH1CTaDCdkUilPXj4XbEBGmxhjUyLYxAdJcEoZhc7Iyw+ypgCDe++MbDLw6XlPOGoKySofjM
-iaTH+7QK+Vtd+udYBoFzdz0a47RGiu3R4Xwhx82z5mOogdReTefDuhX+m365JGFq9eNVeKyfqX3X
-B9nycyB4qu2myQtLVzbyDV0+136PzCTkxuRNxcCw5S6iSur5i0Jl7ECkJ7c97V5P296DmulpPUmh
-8VCn/wjvuqTCk2UbXPgty4aC0nhq6JaU3Km2tkVxnoFCxgPMCb5lHz1abmlkkSWccD3QuSU3yrEk
-XtqfbYiF/XlbMqT4h3lFQAHmJqvmucSDuxalzv4F5gkhqVA35csIQUA9U87xGc0kPaMPDbq1lY9v
-3eS4MI1AMlaruYovGtjKUEyVaXGzWSXAidgRIS51x636BLBnSUSbSE0JA3l2kq/dHNJ5DW3x989J
-QOis043BTY4sb8bxlA4aaovlOrI/0eznn0jGFm0KI8+YXnvCmS20N1PEN81j9TxoCayQDxzqRJOo
-u2xABXG6X+30XLhz1S6BZBC+J3oinuFD3tW70PWq7IoF0ruRQHXh9MIMnSP7Cnw0uCX8MrMYV45N
-Z+Fme/Q4PQjPr+rOIHOB3ZsSMcjyams4UWwB3nmfKagoYSlcTMSShUYUA8GNIzMAoQwojwRCGVrG
-8cj5QLREJyAhrUGiLuSfSoJD7ufTYLAKX/+oTt/ErLSgEwSuuHG5Tg9FgUga3l+vyAU5ZRG2/Vpf
-nhyh/B+1QBsH5weZ+ZR12GvGdFxZj/vUVjujMQjvyRCbQU69rnb4JQ4mh6PZLqS4f+GwAFAVfTdI
-IUFykeFuAP1qfkYHTgn7scWV5HkqSt7cqRP0wB1q3iXKfu4Fz1JpIzYoBKAO5x+URB+xXOxx5IXR
-f+oBAcO3eg8IDZ7jxB04oLUEon4NhC6Vfyk3gc9iva8qfLj7+fS6vLF9B0AhigI3yYVaz0yyR0ru
-c9wPbLl+Won6mpvTlvvKMeBnLRr1o37JvkY82ncYLNO6MaQiCaUtZqWeIrSWR0UjD+BdZFnl/8XL
-XKD0H7ucRvV+4uxOw2G2zPNk1boB2a/7GNWsgrGyoIROBdnR6mxi+fbBpAWtpu27mR9N+9FX+Nfs
-MkFbClz9CYbc+BoMnx/rOPMNEZsrjcxe0DtWKRkKHNdF/aUZqi60TREDHzjj2CoCSeTFol/7Jryu
-3mnnB5dVxnQ7ykwBvJrg15EYA5/q+uB84wVNcaPE8Dbz7GWsG4DBVzfFoCpPMfnpcEzg5RS3y4f7
-YTuG2wKER24ZMrF5WXmTb5Q0l9cFC2aS+8ByzWxJWivT3mDbB2J0H6QTZV+7EtqMQPZtm8cmaqxX
-MC5I/QtHj5IITONlwF/AY9fKe+uGzWyZijorvgw15ELknyyJEgfmYg+NdwQLuJmuj8bs1HHuY9Pc
-QwwkqIPXwAVGG5iyjE5xo4gptXgLgM+6uxtNGJM2v6d0jpfL5wsotVgBrROzBIh4fVEtplSYnmid
-cEYVp9ijX41/pCflbuNVofJNN6BAYjuTMZwGexu5JjhR/qskeqfHwYTUxhFrBbDkTtNGAuqkWZy6
-TsK8R6k9bcNiya2BmEPdUFi2Qiwe20bh10mtek2RI+6QA/HTOGJevL5GTNclhWlbU9oPZK5WzVNS
-vjwuOsq0zIIaJAaWvNC3VyS4V63mzxiEJfX8hdT+R9Zh2H4OkxzKQ7RcMMgXbRrn1TiiDWR1HYt3
-sLBd1z6g+Va9pxlAULNdKq1SPLicUr5RLm7y/qJgQPa9ObLVE8iAS2S8YHkprXnj3QM2SmWxEryf
-5pkpO6IFtAyB99M+R8b5eFbLnh0vrX0YYGbPTsEc0vpASDVPvuz816unX+CwEHLHM1n59vLAMR9r
-VZInLQfU4UpZohRh7DkVa1WQJLzQifY2jcNQyxXq3VFnbAVKrJD8x4aU+nVer+j/QyaAmWa9zwiI
-qXqUeWLp0OrL+UCaXkToDcvCz30RuRX5SYMwzvULI3QYGMmzd91ivBSEs6osPC3jTW8t44Vb0H3V
-t20SJfUuS+5lwolxbbbQOPFzEyqKbE/io9K5sqhpu8OyEex8l4g3zmTytrqx6ykG5tNFKWz5U2Tv
-KdSaHqDQzEiC69BXY+a+KDAXjF+V3+SwSwUew7LnaE8F4sUaKwXAWNIxmpgdlwoCJk8vbQOr78SH
-j+fXgkSMaCJDhvSLYfP/KK9oUoSVdBGNT2Zu+rhUTFLxnEErnjLvPrHEn8EIoQVfTusE9/S3dGWI
-jz04R80ignYj+4MlWGMPfbx/nl+N6aPxEHOTA+Mytae5MY876q9cHmVfhv4TqMv5/ARUwnThGu03
-qOs8IfL4k/iXXFoQ6GJRv+RV5cEXtG/xu5T4DamV+AcXgwhomh2Ih8AtpF25O5SoOUFVzb2/AwNN
-rqcRGyOSWU4xt/jQ2W8Rp/CebKh5osGJlDgD4aZBVirozGsi7UfYmsRofrGaj0LkZtknqMepLzNh
-6lOo6rhlHzo4L86dXlodMuJu6/soMII3kcZjKyVf+KToIMTv8zPGtRNeuwVu5+i0uHPpaWdzVkr6
-oTC9N1PmSyd9bZhp3mZavFMwqMrwlWm01ZItcbAoEtqGzN/gjs2PPTs3ZvW4AmPVOL36UcO/w4xF
-8+Gb4Pz9+RC1P6yAy/XexURUWINEued07ryqRbgR/8arquL7O1meOTv7KAW9gJl0sAVHcdnzQswr
-yWNQG4iEauATlInwJlxxJZABnTORlkxAaryJ4htA4iIrO9cfabOWAhXKeNzSaJ35pzgr7q4J18Xn
-gYIOZO0gnApL0Yqjf3W/nPbOChA3hpCGqwsX504zUURICmgKyVY1eIWLnjfHF9/3i5CU/FSvwiSA
-9jgPL8/TINJP2qUwmFo1bLsf6E2xpRDHvi+fjqWwbihwDBvMWWeb62Zf830c9984Q0q2bh2lMjE1
-7zF4aHxXzvax7yX2DKlNp+L9hVvTMjz0JfacVJ76xAHeYtQ9KwS66eeR/unKMdJn7N0OPB69IGJ1
-VEL+nmKUHC6lWfhtCOUMwB6GNDjHz9wadad3hg8GNk65KmaQBOIg91RB8TD/9OHy+4UJ2p4t4KyZ
-mntASqSCO9C4mOjtv0i+pvz29dr1bFld0dly9OGG1fhKkVwaO4d4WJLU1vr3jJVP1Lq8ZjZqSIOr
-E6O8mCeuS1fHrTcfk/DLpmoeeegK4bLKwt+Kt6d7Mc7LFHJvNljXx3fiSmWrLTpLRlS70FGOwbj5
-fCUrBqNAHpTC0pe2wEmYcqcYbV6Jss8euLm1KsPBekP9iEo5Tm5sZmoVvSSug7MooSEQpRpDPfbi
-4hnEYLHHGFSrTSmgCfGfvxrF9K12i4DWNHyisjzoTVIaFLSXX+5gj4sUIK5BUHAKB7L/LsYSO42M
-pw1ADmHia9c9lfTlRg7WVpMfGdVT89LDIb7tktBods7jCcOfe1DQ1EB70KWDjUYDKuYE4/LZnlqi
-qROhRlsYInEzFKolws70srvbU5jNffGL0KZb63JIJgJcTTgkcUZgxq8hOuzjCQPgj/WZJDOaAGmn
-x2vRBP27rZfhcO4jL2paW9oRCnH5hNI1FTadHZOuQxgHqisOLW4sAWdM5cS6sfbcdOAnBZtzRNaM
-lBXj9nWJ/YIn9sBUYMkplKn/onUE0WFJB6f5uvgHqzRr+atQZpLKfNqpDPwJbh6M3cjdLZeO1zze
-oluv/ysyJHSe3BonsbN5yFKavFUvy5efZBV0MG42JH7DVJ8PgPVzO5owdNyUpjdF71DpA3ApChAv
-qz0avUI4rIUvqXEfdYWcqaqARHGU8cePyxUfz5leV2O+U5M8dkdB5RIfPVTG5CQTh/g5z+egKJ2x
-HL40FwUz1+NFnkULbZGxjmytzKkZUEUmsCnf1F3FfsXGFfxCjeEcxg6rKDvMhNOyr3Z1QoTAiMJq
-hI89Yu/o/oskNUJbGt+baWyZ4UE+agO6yuLrzNULhVSQjeO4kvEUqT8jdJpykSbXx2MnIeMNjnsg
-zNRI2FMsD4PadrMz4ly+HLBpgy+yg6w6cb76r3Gcr3rbQeSPgi1iCJOXjvuX0a6u3vbC0fGYLxd0
-FvpEHw9YkrbdKR4EcxgYJZlGKnxj/ARS8161X71C1zhXcBH8jAej/YBsWcKt3MuvJlP+NuIoh1/Z
-vT8M19Zi5Kjkwa9Y7eeL/t+lye1EDD6jX6kxgWoy/+AFYupeH730GUGdZ78Iiqs80zJNa9fmwYZ9
-02FPsl6thc/w018WCW5Q50SINr7Wc9T9Uh0bsfyLtRm+5K6rxUt2OxvMwMhQ6wq1fK3VNrjN/MSP
-2dS4UwwgPPueiduq6I9/G2kWHyniAirj/yv0tF3dCTR5aArHxDZ1V7+yuEPzxZ6rz9oP5A6ub9Ze
-XbXpyl9h7xPyXuxsn485cvbQ7qayxOH0abRrTN+NEINiviNvPOem0/KRMtSE7sf2iOhJ9/1kp9MD
-WebxpuLlMcG+bjKC7rxIyYN2CxhCFqHAaq9tvYZNWCZSGCOSNWprYQGQ26dYQLUgcnk0hPsI1Juo
-44LHQAzC754D9LsV49JPrD+CR3fpaJWlnGN/oO7pVdvYkf7KBNzoz/hkV7+FWVOesDE5oDFh30fm
-AVQnLuN+X6iy99t7WJX2VuSLbOWbwSsKOpw5TuGR53u91l1yaOWT1Wx2jiZVqzlU3v6O4GzNHSRb
-TJJkIFhZWKZi19r2xqpg1i+hbrFUjscHAuwQXy4NYgRq/tfu7npmXF0Bk0mQ4UMBelsiL5glSsLL
-Lnmrbsmzuqw7k4KxGPOmIE7oP6ZEYnxW7XeXg5qQQ7O+SPhm1govjteaSUtV2Lr6/h9Os31qk4Tt
-J1pG+QuyDK8NttiqEUeFXZQFNuvjsETkhhcVnSFMwXG4ZMpxwnUw2JIXCMgn/WIE2LBPbdY96VBy
-Aa4Ld200+z/eOI14xk5acrEspTJd8RVjI5CxUj7jrn+0g+REuqMzy+mJyNI+jukVijO+3mCWXq8q
-JfsivI+OMUz1iyxgjJe7kATKpTmgo/lf/zZ9B2B7Z4BjSVXAwGL8i6+bioW2NgYOSiBDFCrdLEma
-q0YkfUZiOmITuUTv5S92rU0ulbMRUl3EwvhUzT4t9TJ+AwtTVXCBhox89XOuC+SfSaNuC+z9IIXg
-8d1lEhtmU0z8zcEJmkQwGo0r52pmcxop1gcQ/h4wCisFIfOYpgJIAXqJozf0E6BB59x3PxstVI8G
-4KgDCRrmHf/aVxJ6Ub5mIsd3LSPUX+Jg+XzndP5CURW6H1HhswFiHzADnXe9xQo9FJCDq3ya8WyN
-X6wqHO/BMBhkSgn6V0pRPbZZMtO3Il7jvW0UmTPNz6YEDiBRtiWMnziZvdUISC61CRri7B8/4CZI
-cHJAdmSEW1lZ6cNCVNr9Ekspp7AAKtM/v9Qzr9eRMft5kS/ASyglHoCvA/K50fCNXkDU5IEVQZ79
-hhAbUmb/fD50uaIYIZoh1XFg/WhnsRC41bhCyvA6muesfyDJvbfH/WBATkMUIwwU22EOjR2o1Wrn
-wErB+VJogd5Fvj6YIT6QK3wXhyEL6Tmn5Zlnqf8kzd6DPZmHrAWRyc8Gl4WzQT9gmDYa+Oamghp1
-2F6e92jmJfu2CHT6TiyBPJYph8HzWYOry2LdQOW1Iz5V5J883OaEugHni8GyfKIERXI6/31tCSuB
-I1quMezpvcOdP1iqX7Xkm2IzZopbSAMWUMTF4QnMPk/TVWXMezRDCBOB8tuyD8zk8EmqgQD9SSmM
-eH1Azecrh9LRy7c0G0UyugNY0x354yw6vP5ohQx0z3xLy86RRDo6NzYJuCKtlJW1Q7+Gc+RFx57l
-MtTup7U0aA8WswYoPzhF8Af0S9Ft0FGZExBVc0cTC4+H8tuFqJn4yjGkZoWT/VD9hD1YmEJY8cAr
-jqfEWHXV5hjSnDSrSYpVT+vtS1kSIofVnPk3K6k3OnzQcHOuRIdIacZpTDN0l8fes2lQOAJID2uY
-4tgtX1DuGCxJnUpROZWKvH4AnXQr9piGelDXzUvUoHeoRetTyO/h5ZD2Iy5KF+dhSpjM9JkYQCRN
-AVK9rH9sVI+XSuDOmI1RCzZ8fgufupJRHDg2yKVf/M9eivC/G7u9UXEKx/C/cuSMBmbVo1CzHixA
-vDuwgYRE6a8VXk0gy9pbY/+654lL1n++P0yADnYcbVPN3w7CRK7ENKdix5G85J1pDxOx9l5zNIrs
-Lpt/aLw9m4eKYgA+4pXWbHlwh0qkqAbDTRV9yU0Lvl5voUBxSyHTDlUZgeTIGFxSVk3rFeK+hjGD
-prYjJ7J5NIE39zg7W9/tMBsM9tq9c6tX55nkdSjp4w39j8JR/8jiSEF6lGIxXZpMBLsCJOWpi//0
-jHzh8JIJ90lYTIvi+H1X5JV121ODzYWzKFWudQ66YzG/6bbKi0pZUi/4x3WBg8W4mXe5GBfjAYtn
-uVt7wkY5fKZJB6HYqxZImUB7xmxSmtDbhllAWJ5PffMmF5rmU+qyhEULv6jyJjI42o2ZgqvFW1mR
-3oGGwuqj2QPYAzIDgir7PbpqkI6RJCBp8RQ1Qm2kOyozbnJgGOJhgsEj9AXQhVSZT304Mtg3OoZo
-xWvYgkBCXbMZZe46wBzsIic7bHXRuiem+fQ7YEjZqL0Wg5NXd4szpuWJxhlFIpHJF8ZA5zPkx+9A
-APJCNwJpi2j5WX1ai2Sgs6TkEcwnyE5dZSEVfXHxAtxfkteBtUwItkAgEVn+IHXeJv3SaXfhDwr7
-eeTVlYx+9hTA+sr6PaS4S1gl87uvN/3kq1w1peSobVoTwwAAlZH8csW/gxYCWx7J5B/Nis9D1ROk
-RY95B2YtanLwwiT5Ar6ir3dyFaGW4wEvRX4VShRxhW6kXPlKymOfT0bsN//ddNhlgO6QGsql+L93
-WhUYjLrBv0NhdCVqVFeFduzCIPpYhE2b8aeeBya0PWjbi24dGU0OJKlr3J3tHs3kL2qzwfJvVs/I
-EXlNA0iUxghcq7798dpYj65HGIn4dFGnheX6wxJg+Jw1E+bs4lZ55XinOIvU9zrnk/fPDnh7bvoz
-Oi41hPsehx4vmy4zPXrETQbVPqU7ZRPNDIXWFxhgo2Rfm21cbyfDAEdmStGrzPc3ewoREkh7L6qc
-qVkVBgQHLAcPiSLgT+UhdueuGfgDXmxyGASIXeifwJwng+RI1fM0IcTbyw0Ek9Z3N+8j0xjRZOYn
-zfLxBuyJcVobxJg2CHAeKWrUeGZO4vwJ8BVMSY+NlzhbAMjO40dCxiPY1ai5tNV2yiEUy0JXs/oO
-19uZAHM7RV9PzXfiG6TCi0Ej8JWSJX0sdJFTYyPTB/2RX7CBmOf45q36THis1zL+5asUpM63B/hr
-776RExHXVUgZqnWOqH08vAIRqXZMn91UWAIE75jGVefaReawB/xG371jG31tyipxw5/NhDn9sPse
-4GW2e+M53A7FPITqLV5R7Xjy1cCGXWso5Sd28YPcHeLytRWdhbkxT61WupKwQ3Yyys1gmdT0iRCc
-taS7/2htMI3QiKuGbqINGYSHGdIih4XcPA9f9YWto+W6dpxtBZ9gUPPC5mjPwKJe4uAd+brBcvz1
-NRHWE/f3D8WtP9W1djNhiWdu7nzx8clXfqV1q4Odkmv1R0aoca/Rdh0HdqpJLSQ3cd5cOZSQGpbF
-W5ywmNpt1O8iNJBNfyVZyUgbs2wXPo25bE19kmyTfulstcyp+g8psufgX4n2Nj+d3x8n4w+6gKZq
-RJUxGOtYVMhz/33YEMr9LQEoLDzRPvGf49/i6M14p381ucI3pcIdiZNqS3uKiFUB6rUakEeJI6+t
-KcJklJYHrXGmrsfr5rpNU2JVI67evPS8piWgZ0K5TNaLsWGUE+n4YbPChA0MZMiL4RM+hsUyBHyd
-uqajPKz3fAp3IRLnK/dByRQJzFSW8lj4CnzflfXVmq7wZWbfJ7f672+CVVJDxtOmz1WXktHyKcK3
-j/CbWEBVz6L/ewJKaoQGju/sdQa1/tsDLz9xMG1FuEL7C1Dz72nEIyhjG/kr2K408x4bkY1QdqxT
-a3UFyCaFEyfLv+Ii0ck+dJ8QzQGqnmDs221t02tstdPJwpN2LiJ7JYi10R+8whR619+hM+65X0Up
-luYuyYyV9dwOC2cXCQO2k/JFfe4XGbJm1IhY8+APTPo8AGV5LMPR9Jp+heI5NJtSsBYMUcdOsVyn
-LddnACDTEK6313MR14taLaWYtJ1UNpdpxncqwLOOCiis5bwdcNC54nN3ted9gWM74sgsArcKUvDf
-VfJy2F7RnxOtzYllE0m0TbdPQ2so41pyOnfKah8XN2+v4RSzrt/MMR7UKsK+kRRHAEXC57tpF+De
-lqV55SOjjoIOtIqgiMQEG56Y1yUcMIAQCHe+D8BxFsZespKPYRWdkbhhFHtMV4nRxEpzyoCH+ybE
-AaNxqZoiW7k/6cxAPKVj4NuWcDrSw9SgBZJQq4DZPt8FICjbNBmhiJLYlrRuiU+pGhYCJZk9u6vi
-uUx3XlPH48wprYuC8KEQELIwblGBbZiDlgkOt8tehw9YxXjOw9UsDxwvV6qWSaCKpIcAqCpFyr43
-I0DRSY3qTAfj1i0EZbnqx4VoN8Kg9F29EtNS5Cc6+Y4TCf1UgMEpqatOXZLNgS+2WE7/o/rgwq15
-+wYTNjxL/duPIZjeZBR1Whv1iFjvRwlaGMeM9XrchoF6f8KT7GImG7Z+UIIhRPpkDjvC7RXt2HN7
-dEsfGHlltJhx0JrhyFKtOyP1C5EKQc5feiXbsV36JB93bL7Dyv8nSPMptb8LHlDeIoKeD6SLN/HY
-wD5iOua9JSHmUQUXvKDH37XzeWkOtVoWMoVp+ItowPR0M71SJkcEAV7MMHEVIhrnjjFOE1CafUnV
-cukD5gKPC+JKxmJR/LdpOd4thA/xFxdaXPCYnTThJRaQhtJ4NvmntEvnAznkBu4lFf4rvrUsdRWH
-PyX8/SbWU+3PGq4H6tVx+KJpmtEmujql/wideRIh05bGvZzD3HzqUoJFJzUs03BR9ZRg3tIpvMyk
-xE7R9R3esRKdIU7haes4sgZ2IZc4+xypNWb2DuzyWLmpUjBnIxCkX2qrI97xtnz209D5HJmv2rkA
-ZykHleyNa2H4igaLA8E9LSqCFzhgviOAvuuEbmKXC0wC6aFdP89UAkZut44vPKoGFMiiE9c/Iyhm
-PmyZ94jPyreuiZ7fdcoV95g6/+l64zvy/fPOACbDDQTyfntaahjuaZJBfDaQ/nnlqekL5wtYeaZ3
-MCRc9pGi87O4ENv2NCOblyLDSC8fVE3QchMVztBOXwBVKJvYxGHtSVOlM/hn/mcPFlFWlCJSJitw
-zOBfbnapaxLKn5oBhcf+D82BxF5viNAdUV6I/1BmDK954ute6sSUlT4a84x6wr35do16cjjv8Di9
-xS7OIKwNxE+QLS+tcCTw78nz9NxIZ+hChsWAtlnZZ+pL3oRHW6tflPiA7+Ndh8g/T8giZzlH4mzh
-odSalKIo84AzQKfBdwy4wDgza7u4KZWRsSU0KSnlucbnG/TDzo+2nwywXElHUMskCVanNHntXNs5
-tKdtJMMj8NuYMiqM3zCG+W605EleSgJL5d/mVQfCPWmDeHC1DfkHp0DgDWXL37r01/ng+VcmRK17
-3ZwqRp76pmPRkLEji+HSyzd5/GJOrgdXMFRWh4Y97X5vx9hEWLsZn14mKQ0KmVDKURdudE+jXSNo
-QJz9kTmsJ9yw1oucwDJ0RU5m+Djj7ZmPsZcHJ01r4dNFeUshZlKsdpAq2fjyAsSqKs9l0ZednR4f
-kzqL5VOdGXOpRizW0UepY2hamTviT1gPCAuGPAqwV7ltEI/akJwYRU/zsZ7qxgyq13xktPuuEYQh
-xJ3tfb/kGRcMLfjSx7ba2KSl0x90o3lEQE+LMbPyYETneMBydI4fVReqV6vb6c10oL8RutwbmPGl
-I8OvbCVxqq/TEtbSh51cWybxzmc/Fz2D756fztmnahRc3BDmObEpE3enAnbx0XgolXFQtwFpZewu
-J4qVNAIri/1gLoSrFBBoWVu/kh8OlD98ZJemB10yX24yfUt3+HV6MvVSp2bRyi/QEkZUiU68RMS7
-WtU4UWwfndakJcdFZAJegpyLg0gEE98q3uswJmHYrLlTVRpYE1ocpYBqHvs/NlSNI5jUmmA+SKvA
-kHL82jScepNBQqvrd8n3tzh1/FRbRK5H/mwU1CE8nKa+zI6uyU5A/1d2C4E0ApS2u7gj4orRCkTc
-x1b/frTVcnbYTiZf0AqaJrf6SF+S1AEaK0nPrH2zZniLXFIyfsnbSvXSykirklmGrS0Az7AtOfSr
-2bBTj1CEaaip/eFt3hB6DJ3xCpbL5JVeBEePjJM7DMghuJAN38aT8RCpXww0zagxWDiFd9svfH7y
-zUswwA9gKYuXHo8nLiLno9XZp51aRypXVqN0MGWC+nARFpI6Rj6zPEL2WtPYAQUSQyMea8zywLfT
-q9aFImBZFFtlGVyPDzvTVvt8fNRNlDShC/1kNjSZh+5Do8JPZD+uRdipBz7OikF5blz0lUfwcl8b
-aWUWfmLQVByNlTZyU06+OAFY1l9IPjeenBzNPQR+pSTJlzbPLYzw/GViWGnEb3wrbvUJOt6YxVom
-8DusT8uWN0pcgmEz9hzvezrVRCFihHxOFKJK/SCHRxBU6jRZNjPq90iFF9kFsUdJdwoVY02cW2eK
-xEiDMygneQLCIiQUR5aESoc5sueutmycfAbV2y/30buJSLt+qX9FWnPdkzqm8fqY0tkvUDZiIBAt
-pEh4HXhUNjy89wAXHGmDjogvDLEpGPyfJRPd5d1MVSzE4jnwzbclwtiU4llJoR/NrgswEVECWlSq
-80zqIauG+DURdjvyE28oMx61gny8zPCOW3lhFq/9x1xa8Q8JwyUSnIGle1TipJcZqKnY0taTRlKc
-rIneK2rnKa9mL3EsXsUQy648CPES6E3EPCxaiaYW9PMkEf61aadtbybh0aZ+Yn0msOO9q6OxVsBZ
-f5QU4b8i7gmYYtQEcIchQoG0AHNvtzw5yiXkVt+b9CNfZNgsIQnRQa1T8yzbPhnOJ0Q460yZH0/L
-MAoK+5w9gguHgwLg1mJHJWLtVLnyxJBgxAYJ1gDiRya/KVZh4dEqoWxS9LQyBxfv5OvaYMBhhHYJ
-FbxxQ1VdQ49MMqf+yI+e8kVxE9Gz6IrBUu6q+/XDqYcscuxqRmxNRldtblIGzUOjlv/UQ2n3VCZa
-SbEzaTPVVjszCjU2ZXy6gKF45muvjvQ0MHQdKe6NhsLp9MoQVr9R0VpECSEzTiuRoIL3GAAPMLhn
-eUJzM6OldgQAD5trAGN3rS2S7eVjyRX08PW/cTRj4jqTVVxImSrV7B5fRZFZiYUU8UsBdG7m7DFU
-NoIn6KOMoR2pvtgx1CroRWsGMzo/DKjt0oTIlQ64hdyHgccP1G5tENYjPP3KAXfnAK7q67OLQr61
-v/3xHzxxBuVH/tNVgvdr9GN9vW8Aw5jsRwERkyn224C1PEJdjbigtRX6BpwcUGxVcJYQCe1BCuhh
-R/8aacygNiCixKd2/WgUvzUG1Ee5GhCuxNYVsdvkpxMyfslZ9lOTLATl/t4FnEpSe+EHJlmzm2+G
-w2Pv11JFMGHILBKc/KZfLzM7Jq+YhlTILWlCLVr+TnLMeyt1V57YysewrF242szFV2KY2QArwrDU
-YUs4rnDoGWUwpBGmDLi7EShpB93/BNW4kBDhFRodseRoFAkZQfzL3VYsFGCJ6g/vXV94FXnay7i/
-cZK+yIW4JmjH0FBlWDiq1Lf5rCLTjNfw+lSFwUU3y8inLnNLTV/I7qkZX5Fkg81lBzsi46AzqXH4
-T4GyoqEccXyL1yB3lVJTmMWiBaDyIJQe3VkWlZifbLwaRZGziKGCDtV2sLqraIXpJBIGACRYf+jL
-TjVv1GCvmJzT2VCcp2y6uKYpfh8LC5Ud25VRMTPk9nZsKGQb48SIETPikzFxZYZBmVyNFK8YW9EA
-tCXDEH3lUdxrNZn0GUZMcJ9Zu9mt2Z5EqGsB507rvgkUfyE/Lm4DkiZcqAZJpFZL/4j6EHKC+czl
-ty2XehJmBBkBW4nLmTiUGEpLME3BZSInDpNEUXBXSO05Uz40OJiNmvqixvhToObSKqt13g5G7T3y
-uhZHJFILEaUcKAvYGTfK12NsPOORY9RDulC7LO9/EjgivD9BhpTUYIpRrXptccq7jL7cnKPHpSPH
-QIJhZVaHrvGUwVYIixcOGemQqJ3+1QSOnYLlVudO2f/jugjN0o2GL9VaEZSfI/6e9UMhfse7ruuE
-VeT8gkH2WXuqPFfSNsMV7dsa38A0VIMUjtzESFDRCfhuJLuIPn7Xd9WBwuRV76UZHA2sTB6MgJQn
-+CUz3mhhPgYUAlXI0H9f/p1fCN4oUaAKzPuD+ONpWg9J6G7zeWDYvjqw4fveMrBoOswBufB7OxLA
-RgcnqRLUib0TuMIGflsKPQSpWScz8DdNwMVkXfKTbTIxR0pSMitQpsYR9NI1AGYxKRSiJbz83ff/
-x8Gg/pwxGHFFFY6+xvCIHjTPeKjzKYeBJRKq/HnkzzOXTwgyLDK3GhKcsgD1tcgbGbEoAfmXBCvU
-aAYOYMna34ZtiTDXIk+qyZyVpTGQe5neJSMNZ65TAHiB10tJSA0h3qiiVtjvaL5qRQtdXcaI9IZX
-pTRF8jCsVaoMPjUpmsVk0UkPGDQIx5JHrJz2S6GZripBJhFloCMJkEcKMuWmzDGYhF4/U0aHCC2r
-SEPM0X/sQJhqqOGgZlHHgHIelugCcIvxUP+7FT8OghhuQXvOksPeheukBRAE/nNyeT5Ei1U4fcvA
-9iuhiC0pWQCikKn5h2KyOQrM5ledVLQjJjnbsNFhSoNLKlXg4S8/BuShxBnvGoMuw5Z+nyFmGlY7
-STC0pO3PcR7bF6Y9cADKbpFRxVc6yGySediRvMz3D547PBq99+OAnfMEmgAvxFNvgyUx+nVIPImL
-+YGpdQYlgTpj0LW9IALtaJvbBxrQU+wFv58qUtwyB5U1dSxzSksqYC1l+jPKBOO6BvXw4198f5Sq
-M/21q2lf3CfsTrpimPenXlYL+4DDw5oo/v5KYvKfGH2+lRtIH1Lc/WbZrMj9hlgQZoQ/eqHaFaT6
-MwBS3oZpqfoaHUNkpRDyBAYXuGRo/4nM2K6dsXNV4DCMvdNcciw9mmlrMnZ8nH6l2L8lD3pC7lqr
-FAR4yyiGZEG6HoU3IDbNco76vOLI5oG5OlhTqrYnjKVI2Z8/Dcea2l0vInJSFR0ZvqpEwUierfcu
-6s74aiRsTeL+v1ZIH3cdvKLLjaLcTWLAxMVeM3jO1d/irrVLmnV8+X5QO4S6G3CXuInJeowbHk0o
-+P3IHh4lSsOh9+4pyaemE/UsXxlw1kJNcy6/K2jCmfXmo5rGJaSjLUu8T1kl4zB/30h9ID0o1k72
-tnPBfaH78tRLCxFUDDGTMGJi9Bu8XxwpUjChCBuY0AuMdie2T7ocBQV7nNko9UaEwL/S93tpeWcJ
-VGZAmotroXpi5tOtpHjoRQdjIbkGRjxP/vIRd50m5rG1ejbw31csHH1Cwg2vEysGCq19lwIGVjJf
-15yGKXpn8u2P8fvpoOnxeCfSgMAjXrKslY0Gy3ljsAV2dz9PTPH8/gB+YwcrRTw/+l07MhHxNLuu
-tPPa+JB+66V/TiRabhtWIeagTwd3TsP3kQOfgngUXB62cHed/GDzmGBaBWLtT+FqVkJ76LWM5DOk
-2VEd34Wi8Q7DRGA0HNBhX9cKS05qPGwCz+ZQp1Em7Ja6Gbfl9ERGPLl+69gMxm//kTbKadzmIzsv
-CWwMALW2c17xJtkstPYWbsJr8/rdiDnrjGVkEcLnNz4ifIi5M+Qigyh5cHOy9bFiMF+KKf9qAzZZ
-4gFmMnGdvZKRnWMAPOOwcBurOKpfXH5eibrNVdVihW0ZrHQsQcA5NkWMDlAaTcBYdBht8o759Lyj
-wLBzvihQzrnlrhWAwS2jEAJRxYoXh19dTBT51ybBOIAlLEHs33SyzElCZpYzCBqh0mXmHHGyGJaV
-jGy7WHznGk2eEL4lfdyhgIz8bo4wN9ofVlpsW5HYUVyHW97Op3jEif4NdYyi+RoNXFDLrN1Yh3Gp
-l/oWSoUJvMBhR6pjMBurbW/Zs1WTb03tCMRD2lwwXREuf8n/c5lcvdlge4pYtrq9oIk12e5GCQo/
-89LsuA8aHt9zgKYOTnKSkUiJQkDmIcDGp1w4/RhWCraK19iy5yYzHTTZYHps6D/EvT8V7bF2C+bZ
-FU00jnXRcDpxwrOqT1LH9itbNrsleqWwMSvXFJ8m38ji1B2/k+LMdKEGsLznVuIULR1+olMWUnnM
-q6X2LEa4v0UUf4KGmJW9840Rt2Lftlr/8Gmn3CuqMJbhbhIw5u64lqzs9YMnc4kI4dtZl9pwshGE
-TXF/AdWnaIv7R7g92LSSpg+xpkYhHzlWXUR2QmBmbUPX/Ptt6hSPRK4cZ0F8osVRvhtYxzsZL8mO
-PRQpjhnpFPRvqMWlO+mrTBZ1A35umrOLOYiW1S8yHgClXX33nfIHTfht2k9ikKxWS0UkA+UhMAIG
-BHrYM1X9KcZ18B5XERtculVRSphaYxFy5vge+DYVR8yyw5/vaBaP94BY8uNeFq1NTKL63I8OTZX4
-jR4vh3uQGodjD43PQCBZdSAUAzzjPJCMMwGOBbSVP46twBIWbujxLev6/4YtmYnwAO36aNAlSubt
-aC5P5Y1KXDsBnJffF2mRxKFMZW3vx3BWs8lXvnUfHtFDAOeMHAalhfXb/snOC2n0dpYIEhqafYEr
-mcbfy2HL2+flglYheBMNsP84lU9Yu0cC1tNKYwKUg4QVvNYFcYI8+SLGvM2wYQnjglx2h/H+BAlb
-21isy/zK279oXUXkpkpVnjI6BGOzDbO/pNXxEay8EDPREKuqrskRjylIiE8XQFuLeGDvxW5/WGx5
-AW2r4JgDIZvD6rxGV4oPok02wQVsB4wCcGH820cb/2SnpZ0K0k//xgOub9AnqN8r5AYbqQIow0rW
-fw3MpwMrN9571DAkxKFsETgTLjuzxVAMEzLQd9J7bT75vA2qm0FObN3dCXQP8sIEI/4WgFUlg0+I
-NlWmpeQdIOmY4CnLXrCdSp8YTd5Ac8XggM3jqeqsK1eyHMZIeJZlhF3mtwVLKJVsIATxCA1/sox4
-jROjWME/wJsuUXg5VTJrWYpmJj/cB1Vpazaln66JIIYJA9OWWfcrPAnREI8pFhoyDT0djTCgUwKn
-NuLvRI13DWg0QZf/17Uvckm4IQwuYzkMiKuRt3ktXODTqZxY6pOgC0nuQYkGD/tLlux8zl2iAbwM
-RUP9pIa81rBVwt5enYjB71wp2Poohnk9DyNGLW1tQVudvCSLfcwjSxuGrR1I90Pe7ezgHNK071h6
-aoOtEglnRmzoUBXmhyrGd+IG8zJF0W78y9stMMDZ9yZ3fRoBSBm07tVbTvg8k3UshcoO9kGqFsS0
-CSn0ptpiJuRz2iVuFosQJNNokY8FuZCFjbNMdXhTGbkq5TP8e/tE2YBb3nzbB/OaHsh+8qDrovlh
-KRkD6pRXnQDWeuXuUkC2d892CaZFYxw+NmLUTXS+/nzgVNNG2K+pejrh77nuGD94S0QawlMQRw35
-fkDre7Yo/81jOl/azbx8SugtHLKvteUYeC9tq4OtfUtBIw8wtu+vdI48n5TB11jrzapmftW0cta/
-2dwZsX/n2stJ+E4TRgye7jJ7TsPlR2tiKHn2KRpz7yQiCkHMc02fypqtwgrIkozrc+BFN7g08JTk
-bF7Y3dSWCspMKzVKoEQzepEw1sOwXdGNEhx94lnjapSORbOSC5cieNJz9F6qC4HaeMJcA+Zcxgym
-w681uffji1OWTX6cUVpDPO8HvMsMGZfP/OCIA0jA+oKb5psNPaiK0QD3p82LehSHRgVXfkW+UI/i
-cxGjjmPNAEMdM25Xj0LZP2r/yPjlmEIuGxsrVhif+5i1wbLUhph3eXK+Xtg19aSMEOGnRcClxPd8
-57GNQ+yHtqUEHVy/cJBqj80I915NLW9x2g+3AXJBObiEqRkp+cdMPph8ItLixK7zJWZJ9N3iRp+2
-FMNfpWFGQEINuC8xnjoWWOib+1n/e4vbmUDg2r2IWH019OwZ/mGzVaEEAQh1A/TCaxwHDs+kPQJm
-EklBfOyVVUeXVHvBlyn2CNDSFoa3NDEdeRfhyrcDATEQkW7hw94rOYUtMnOjKBlGBwifpa34hOy2
-7DKTPkL3uuxjYYCpFgEo0NQ9aH0DxBMTNQ8UbZjvgNn6VzK9YC9QQlSRDCjhftH2P96u4JS51zar
-V9mP+CYNwzsBjuF8vaOWr/zWxCXd4uj/6wF3mD6jtw7+EV1PEmo/DIIIGv8XMd9b7vU0H7OsEeId
-Ufn0FysraefHV2gx53go54YtW5GcMsycSmDErf240MDeSkQyw4NtFydPCYbCB+80vihTwIF0H6PN
-R/bsu2q7up1CmpDatA8wMzgFBBr17hakeSvCwP8Jk8ZTQLgdgmIyZbuFB6h5VRgOwJf2u7JKGDHj
-Oz66LoQ4JLutOGd/cRVqdbl8+UTPXUFPp9vMbWPnwJQK9QO3YznG1jL2QcRIH82KyX0ZofxvAj2y
-BdsAme0pRsDGG2u903BRh5WZXyENMuFDlKOgjFuM4Eizdm/GXYS1wU1R9IqLaM2BvMzhXLiQvBKt
-YFQb4/fyZctQwP4KDUdGQmjeGYoIlvD/pSGCBrYzH5l+x0uJBXbmCv8wzayaAqeuuR+uR7LtvDWX
-41QKYcV8oxbdMWJjAW1V6K6u6awWmAn7YSPXYPpAPnZL4GvjDg8MNuaNNlrIti0OQyjnCArUMlH7
-F3ih78lMbLjYChXfAotrfbZnVIqQPYNFeM03bF68HAMeLN0+5mzLk7lYIIllxEzHR8/BERGkeS3i
-Z2bC0Rr+StCkqqgrQ9S/3ymQxOizqvM/y/zs/C4nq7xIq7wn+a1zGOL+nqzOqdTJIikmRKL+7S0g
-9lNzFo5+OupUeLeyztM/aLs9U0nFbDov24RaXGJfSiQzHTy0SkSh78FkUqXlu8LeVAg3HkUWQayG
-bpL/lYNUDueW1NbMEhuArcmsWHo6enDXq+v/A1vuJ+qtNI4XKvKlR3W4SHgnERRfx5ctrVboESWF
-XOxXRnxX/mxBu4oA6TLcDz87ziF+aK/hkhcKMoZPEJU7rwTCTNnV2Dtcqilf2gOhFHw+5C/ic/64
-2kjxZ2zw7lCU7d8a3GBWMXPGLzYsBK5zyy3JEtor4L2bzueXzqRmL4mL+LKedRgbGEkP33RKk0VM
-q/vClD+/5GV9tUlU3cV9/59a60Loj979MLTXZbFSZlAexqnrXu2yeu6CRNx+n6CNxzcDZRcu9UVQ
-vZ2jWvHc0BySlZd2A97LSkod6i6NspNScWHF0SyC4/xXyqM+kK/9uaca8TYTzczfyUmP2KvQYlSf
-aFo4L1WA5M3HdT5RcpuigBjVy2MqYmoHLmQ4f5psPIN6gKF29ORjbhcahKzW1mkW+PKsv72mf4yi
-U4mdatRhOA3sJfKskbrG3ge/4/eEpQymG5iBSDyWjdKaoVZLZrBTqXyPCCnbOoOOeSaxL+IF1jAv
-Y9geEOoSa7y/KyKuKe1uqIKs2AoFNXxbMC2oDRpjPcU+AlWiPM5DsRqzwmLX1UsuYt3KTQtN8B5u
-51cf6TvMC+hIBen1s+ZM3Npu8TnO1it3XbHsxl1Gbf27eJilpmg8iEjC6ednY0rph32LviHZyYsR
-66qS7XAz9f7kScR+WggNQWvqJKCEySgHysNdsI+hr3BDhsf3nA9c8VfdaxzTmOfB+Z034/ArboIF
-bjZLLj/cG3AmEKn9m5F4enuksflBefUzl8yAMfhUTmAD4AJervdHaxe+4KylX28JgoEyKgD2NVq/
-qOQ5Y3gKIU65Ckzzb8tJuOVKjj00kBZjUjGAb/Dd/51MY1EiR3QxnsGVQg8hzowoMKNvfz81f1Wv
-Z23OXmM281QPqqR/VA8lfX8Bo0LyrrONNyia1yDdtAAkaX/Me9PAzHEIM17aoJOIX0NbPcgkZ2K1
-TuV168eADAwQdgt541mkQRxqxNUVlys9Qy0f/aDvUrHUBU7chyyizKI0xtr5lyAJ0WYKco6rLDvd
-uc5jKTbILmoqwxsHdLiJYgMoSxjQavVJChv5dmQ/eiqqel61NyAI5uY5lssqVhuP/RhlLuUN4ylp
-RTF/072uS22k6UR38oIZm+DuF1whuzWG7ZuENrKLgRQZpai60V356v5hpB5vRFuIjsbJxN5M7M1f
-gBJZj/4aT9hhQnmXOmsLUSKtFjYLawJUew/z4jXS6qG0ZS2nd7UDQlB4tJISfNKIwxxfkdIn+zN2
-Jl3d51UBViLr0ZpbWf1TtScNT8zFbZZDLpLlftxOZwHDzRko4OwKdeuJaaOEw1cSuZMGVtoIeiTr
-cDID0ppwuU95PNmqiQ4VUi+SBNz8k2lpOn68k5P8tXGt7+krCKIsYwFKW2jWBvjBriz3kfEyMLGT
-kzhxlFeRPb1Hz4HPAJSSZ6+F0q8LL4g8FdOB9rWdalwmqiXXBluGvsOCLfuv9YYLUOSK8tAEbBML
-QTy/iDmDbzwvnNPkDe9AgZRaoAbCQ3O/7hpLKKVQ6YdPSQ4PiliqF6ZZVIErV4Ex8tLTiGJJq7GY
-UQ9PEQW6aJ8I0AXSfgdwXfYfh3SKKOWeJ/s+zWbPSUmA9lGXbacpmJvgdHO9tlq5l5rE0RNaC/MY
-Q51jBRrDhlnTcwsQqNf8kAlSQjR++9qjYMxutUuE6MvIXrnxGRzXFEVLoOKDzqwO54TetF1VutjC
-ukhTfJ9RwfkSc8ucP9KdmxI15/pX2GLkuogQ6GD8wUVzLr6x2P6AR1F8xlh/WSYzMmS8GaTDqPhg
-X0YjrvG8HbShNrUzf3niKuNcyGADVBTDRimNPWgKb9yDYxVKqQZWDIKMYIGIlq/fnLewTpu/J/LK
-5Muv/SogpZx5IA23TNGC/HkGoWhLhUnY6uDEKRAHrjjhsL2OlFINjmGlE2FrYSsH6zOPW+fEo09G
-SlTagHCh2SokUGVzy46JpJy1+/w/7rI9LB95pD2URANjWDSA1SnzH+0PYwbHbUojDUzXErTjXqrH
-gdY0x0y0cnNX1FE6TMknSnWIVOAaNeC3An2MwSPFro6APX+66ZDcB7/T61CbPzgiCTjwWfVhTP+c
-/rnR5nRbE3cnJ2HQ4UAHrvydH/CmhrtqVidR0neDKqwcIGsa/u0ZpOCR/Xu74rG0piavX6893bIN
-glk4UkW9snA2sAQ9ZbjWvWJBpzZbYFKrL62MFs7ntElIs4Fcr+SARKnDg34Y50V1CRzQrnDUFr+7
-DAdWYXiFjmMs4N6KDMyOQFwJat78QluUyy9SoxVK0qa+MWKXfzKe1h8bMZB4fwDel3BGHuAc/s7N
-NTAz+DnR5mbnxy/EvKoww1YkdS41WKMaqs9BCDKbdz2WF4ZCMtJKufwCz/C+UL4QiT6JdrsKj1tU
-iupVwcbUaq45S1I2Lkd99Xv+AGufrzHUDHhB0xaJ48qC8BkUIwb0CAQOq6jWZZ9LVjfY0zSvxJ6H
-Qa8MxV7MuxBIayCWN0tER32Bl3e901RLKVAaGSGpjqTTp60735CCjFwHwE26/EnYG0MsQ6rGKqHP
-jedGM55YRdmVw0kG04DCGVYIr8a5UF8pWAfIMNbbeFdpIIY3t7+/jajaZDV18vHXu7ueE3pyFy5S
-jSGH/0xsYF6cR2Uy9dLKJCaxQ+1zgJ/ZHdIYec76Ki0U7ls+T6swpyMcmWgqSEuq4FTMl0eVGDve
-Las1vArqwR0Mo/BP6YokQEmKrzOH3Cc4aSxAuOknGDQVD8SjgHtQ9vWXyqEWXH92QVnnb8qeCRge
-EYWHUE9FhK9s2xoerrbvNs6YprWdEgzv33+pjI3zh9XO3Md0TfKqE/2qNdqx9JQEiW+ggxvGOtfH
-xJP3IrrjFuN+IjQj5HhrFGPc8OdViSoTcuA8I8gfBdCNab1lGgB72ysKFtqVHM0usmfV/yElU/ZP
-+dTs3STsocecIWse+c5T5H+hJ5tJdeeZdlLCmERGF1acqdPjytkq/1g6nsHTx0H64HEM5eFEFpBR
-hiKwVIeYeWXTtHZjxo/t++63jK1EACWz7LxkRPM57o6Clm3bKiMH5RMOmuyKtio1uNhfqZqV3mYv
-4XUxDXsaplxRareXyUNvHg6dApwvQHpVjDJIHmxMsiTxf0U6maRhwZyX2JJa0ebgPjpzL4c83mmf
-QSVc+TYEEBcVZmA74NALxaDcWI/V6VMvYcz716dsX/0hoy0+gdCCkavItQp1s4oR3Iim71L5B8F5
-LOtyZPYmwc4+8gyPw+0x68k6p1qJys9Mux/fXS/MW/edzXv3PNT7TiK4SVlisitnr6exNAXdn98b
-OrZ2md0Zrlj1W77u7TrxPmK15xTqp8oVJXR7lbMtGemeVwwIBb4cpP7sqBcZT7YG6Nr5vvTkLq49
-/TO2+h4eROuKdRLxVgd947peRwqLIuaVEnHPvGlPjJk667xT0Gwz1+YEcaeZxwNbhHYK4W+C47MI
-XTPvzH7+j1bNqIehHRlhvnL9rGNGIt6GsH/buCQwyjmUS2CFguRJik+n4yAtIqV/qtNIiGmhbo0M
-iIKk+jlr7AeJz4gJM/etn5oho9GmDfGuxXMh0LY/sNCMdCK9gvCAkfHEhqAUtoRR4u9PJx9p8QBU
-SmJv3YHcH6/Usc33321orV1WOf9DeCdZ32f21MliKMXkS+AWhhCF6M/v3F/jmIG1aWMPj49hRoZU
-Isw+ZMWyqodvQbhHebKiJbIuZiN9N+hYPv+LDy5JDmocBiCau6pQppH8wGyyVCI03hiZc9lApom9
-XkvAqK7VBU7XnTQ1lGe5CDgJCml9eMaUd8KTjpaoUdPQvmWOkkc5PKwXcT5G+GdihEvQ9Z10IE+v
-mMiXpnCSHwmmDLHUoG+1rbK10fbIK8XZZL+Ht6FDdtTbjxupes0FV8L0lTsBFMOhmYFht0uJSUuG
-PjLaIVRKkugTKsPSEu2ajzlVPChalWS9dwINVzIAi2RoRdvBsuSshcXVayd4MnUcGNRnTZYPQWHr
-uf7ZCuyKkduWRVBhAiHwzU5Pl1nDQIsz4UTxHoI9gSxiCFTD+Z4qTe9Nupe/YAjl1qgEAFVfJhI2
-tNb4lwnsLGfxRH+e8nA/hC8Ft5sLLH/09dvI4ylBjiiOuhAv01ZohGbflsuTuzOjXH5I/clMa3zU
-WY18ID/TXF15TQGtFNCpFL8uWdw66pIU0QjnuM3k89+i6CbRvHTyOgWFjA7h5Ek2yCuXkSmAb8Td
-XnsBl+sJGOyzktLgYyhLfAJP2TbdCovXV3wXsBfMHOTCfk3BidQc8PSxcRmjbOH7xCpN/ZIzTRpp
-imDI+xc9WcmXwp4dUFjYd5mfHAuXiM9F7HrU/cn9Tyc8UVaX72Dhmj7vMyIDg7Rf5SLQyFfqzlu0
-68bhX5xXcrIuH6wFyBwu7hcvQAnk+NbeTpQn9jjvBpUOljpeXE4tO3JUKmuRZWh3HKdFvkVvPtPM
-uPwp8pF3951HcrarP1llcTiPSQmhAwGM+NXc26ivTx+Uo6a7iA+4VuJRaXg6jfipcgQRUbrd0R6b
-bnWNwLgniq/HvX7QitGUMMMKzGGdTh436hR2L1bo6kSVV8PPGbn3EdYVqObds1Q08XdkJPtJiJz6
-kEn1i80xRnUsQgkORYRm/g4I6w/OY9mTJm6pURfeb77WwWwq3T1bbnMRhOLaJxNV1A+FT+ITeckP
-Mpv83M9Ww3sUR8/fvjq6HP3p9KvPK2rnA1bL3yxpddZ7rCuDd56FhpHI22V+tSwLfkWFmbwytNMv
-foQ2goeYFm1bT72qNweI056dVrM+UPMhqOneqPn5HyWyKS+pNsCK3+k1gtou/gBYoHIg6x7o+Zu2
-lMM3V8eZKsJ1N/gDBVtTzbN1T+thepZNngKc0bkTg+0d4cZbj1SlafH6adSqCL6axAExm+UVvcAv
-IuYyU8z87n8QYz/NkDYxwbzfKASLF54r/A/ilfVhxNFdQ2EM/7tQC+eZ54QomQISDZUMOJHLsXcl
-smY0rE3IVZFyY+OWA+ofNr2StnyqJv3+6t/nfMN4A0DZMbdpmhFxZfvVC4M9znsyB0KyYhVimZCT
-IwCirKqO31j4XvQ8nGhW0DeaQoI5JeO7eqhqdS+vjKrKHXuWmhOGhiLX4mwvh5qmSfTRn+KCfUhw
-u8v6hsYT0CXdu4CiIbR4HmN+13s1Zi8AQfN09VjI9GhFWZ7rJnAi/lQbS4SXSuyYSF/FtKnVAc9X
-crRFuta5I4G+yRBJi1ygrWI92OTj6XcmO9SyhNkschLam1bvuhPeArlMg/WiIbGxwx0Ij55IQElU
-syvT+JDG3byC340+3uYP+gGrEOXLAtqmhov6ndv/CwsVAKfuOVikBhH1AHLneeTPd3vB/z2yPLlj
-jryjEj3xbg3+Jk0dxHBZLaH+xTPRvheWkjDINtuFcbLF3EEESxLIjsJZRWFl1OJ4FJs6bds++T/O
-UD2yY4mzsCImziXAXomwsPnR0zyGm3JPsHFr3UG+tWSrPaRACwvXgWFfNVB6tut4VJBPQ//DI0z8
-Sz/0tAzgf3cD9zSfi0alDAuVicSAGkk4LN4gf2hZZJ3XMB24FZfgawqiIri+ZCNPOVHxhuQ4UW+6
-cuUuOEE+PV+VO1cEUOaN/8DeYuOtaOMA8fFhdW1135kSLFGFowyl9cYtqXyHys+zxfJgV9LXX6Ug
-nRXvKWTAWCCq1Aw1w8g8e4r12bKo+Qu6tpX8IJZSmyRteQ3CwSzho4urFL8V96xFLvNyjIixOfwa
-Fd4JhL993MnYi5mK/MmXaMF1Vh2YNGLRF1HVPSgGIIeYZ/jmDriTV12rOj71z+2wzP8kvwJn7UZR
-hbcwOPgrgYnpN0ZZFHaOauxvkQWJlAmHgk2WQffHNYssNHo1Q3MRqwqRMRp396gIl4IB4japXHZq
-8QvGW5xeP6dpmtEwY5uXzY5CednC9lxONSuNbeyoiiuNEGiA3wUQFvqJOexbZcv1f8pWDGBN+ZUv
-+Nv1ih1cFPQvn61IpZw3xi5rEwxW0hF0x/bMTY8KcuQ67MfXuJp5pG64S84pU2oaMhJGpa3bLUF5
-yiK4FEbpxDxlU+gEt1xXCJbJwWux+mLYUHN3Mr4+BlYHXtS2lCTeRg39NdczU+T9gNM0VIbX1Qka
-fL3UjbxuHOfO3Q92djSIQQYTcZlOzqXuunmEobiNI+X8/QFhkk2FuEjK1ragVbkAt2UiUxiCkUYl
-hItbx7Xv4W6lXG4TOOq9QQQIuAB0H+RpsvxxCQNeBtD9EKj2LHsagU+txcRiV7GNrPGoMslgDyGm
-ATd4RCwRB7Bb8l5iqG4HI4D0K2ePMtULqStPJEZ86tgPcXZ+XlD1kKFibAMHzurO3BVzOEG4dfzp
-Ev4vVf7UR+0z7Z1LaJqGv2suK4UWWzl4t7QHr+2PSwoWT1C7vOXRxjR16GE/DF3+pv0uUmFLLskA
-SSdPT5OMg6ZVrwwzi5RS8PzjjxOhG9oKjVWyb9m7yvqPK1L7sKxoP4NZfi5henwcC1ITB1Qptr5x
-3PWhoKE588FVUgBqlBIRjxvdpnwGqhRWkefGW8jNDfKRXZSqjFufOsrRfhSQjX4YCFyHiG2hDbeE
-2sbU5uKh035y+Ifp5x1R5NQBupMcnAVyeYyaudTVOJ7/+14OM0yGvLXtsrD3X2IyCtwlg/qhaVkc
-oXAFdR5FnmyCj1/cQ/WOk5QffkICDRnGIssBi5sJgET0z4iiXqvk0wBGDXclZWlC5U+V2kkS9dqJ
-Bvu98qdQoF2cvIqLGs1bkeLVZHrc7PoH//vQ2ZZ4SLf0eIpHlYoflpi5qkU3YCkWHJPQn43h+tRC
-m7/D9+cvL0BySScdKb3PN71PYjmG16coljE0PDA4VOnROk5dwYcvjRiCvJ+cAeY3vTkRMYuuX6u+
-vWONGLzLYdC2KIUzppAxVIe8UbFh4LMNl+CBnSkkbToisHxYlJdFuDNo/kBPNxkWDOfv9Rk7PLVy
-ago1ljRKs3k+CMNCBZUmFEurflPxNVhghMnG8NxPvteaiRhFSD3yk/8LRIgMjr1Gs2s9zo01JYoM
-Od1H3oaNLuatORGMWtDlT0q6Fj6w+TNGgmCSOGnzNwGupa5sYAIoGpD5o/CdG8zBuMGipTbQIHJY
-HtMq0A4VINuag3rVzvWmBwGTkNgM4OVwR34DtfyiXyqzFu88/3iWfTwUbgBm6HuL58MzJuQt5ju0
-qJrRYuJwnto2Wi/C03qUWai8cwIsMR2LvvAQNjxz4fxNn7aXrL2qjW3g0U+hpnu/9a2APhbyAqTt
-XGiSsO22TcWPlk8mgRo2nJPcCdBc2RxDYPKxx14Rdo44hn0LojWK6WqZf3LVjtvY0aVmaU2TXm4I
-F42mDeHQX1zt6MwFewN+lvf4YWiyqE4Wc+RcTkLFeAFgN/hzPPQnK2oX1r+9cSRNymp8xYHdSQfc
-nkiJJyV1CPKdEjnmKnYHVnu5rAXLSM16SdQdFG/WYjjTTPj4ltBfbzIAhrNOjZbMsntIu9b92HM1
-XzugTkmfAvkNXpRlxxQm0uXvX2ef8sUTe1w9Zyjj1yaO/AJMmDjOD4DaHkZ00M3HEeOSMvoB53jg
-/ztC//oyTAainzC5DarTy52DQWyZWmr8GwQub27aV7ZUPeb5cy98PCJyUSTizql1LEBchTQ564K+
-uxA4iVmyqYY+iyaf66fd6NCjcrLmkb4+zeXw8nkHKq+CjxNQ7buoYBomzbxLVmMSD/mpdB7E18/O
-mkq+y1/i+X9sxb3Sc7uSixg99hHfmtb8OPPKnN1a1DvOk3jRaZgbxUEnydV8C6DGXqKYYdjfXidW
-KbM5OkiU7uEPdO6EYzjPaypqd7hRbqx7WW9zNwgrI9ETCk+0BMgCqGmuuob11wIBiTfst/fl7czw
-ik/t2lELrR4EUOddLSK/FD/Qd57eCsm1HJtJvzP6J8LRtz+BKWM5GpK0VVfXnvAUXJITGJmUu0NO
-duw6gouxdblUpl3UVPpaozAueKXpHfl9kk8r69wcIrEk1TbYJgcT9ArHBJbO5Zx06Kngf9BfU8Rl
-HMgY7wb+D6F/QgSGc9/sNBiRG8ScVtTIyTPwWrZgo37lJdjXqmXkBSFIZUUgcn8qA3lb9BAnqEGj
-LWxIyu3DT2eaI8s78Aa3KVOLKkPFSKUnBRLhh6btxkSl0ogczx15NFN9OUSnac3sJ/eEJHBgwfAS
-BGfeGnsV8x06JHSjZhq4YqFmDYWVHsPri8as/PzDbUe8Rj0gn9ccfcbIMS4DcWZLwTBgdwXFhB1k
-f1bnDoqtBiS+iPKG6dwwtwY7Ym0PI/N8IdyVA04QbCXOetGUQ9pCrOcYWBapFWzvIc1yKBunSiZY
-afUiVx/hjVrn1eTYgLDAGNU8f8L7pxjLyHlFKOA3A/hZXTNWhFYM0294R4LAyXe+7AlDv2DGyVJt
-8HhRWSo1mp/vyMFHtQDeWgf+xw+tHDu/CjZdjYVTiRj726uhh3g7NO1Hrmxgfgp+MXuzDmzU80Hh
-aOfrJgOvEISvICjC8lzyPKEvM3g5lgvXq940p4RBUCcATE3f8tNJ3givvqfikLH59hyCFpDE82WI
-4lYP+C/ugi5VTJL2qc8cPRC2Lg9KMFZVFfZt6V/5Ub5Gh5svl7WbG3jha1q9nLubEpcM0I4K/ky3
-7kCft+AvWlh4v70s1U7TOP/lveVmZ0r4v256FN7eoP+1OrB0wAP+piwaYzJCRKmHeDcr284Wndon
-I5ijwLv+EVCyslGK3j/qkLGCZW7j9unDpouuxs/0CcIuCC4/A2SGXNcGT6cFuG5LmwsczjQYciHL
-Cu7uytdtIYe1YYElA7RVSlLGtkyZOOJOusvYGlZ1w8WQ50Kwgb+K+Vh+WFt/vgesQ9vcBPu6Nu5H
-qAgT+fz34fJ0T7WkrpEkc86lDKSGRAty0MHGv7t1yA2fQAp7u9zuz8t3ennJjs3zAxtyz27PexPX
-Qv/Zl4ly2C+ZV7ZNwnK1E/1nEgZeVWaMhzTrlN0sr2L0vvjXbLxQNDuSIWakQuHmZOid6oSvK/X2
-WfTI5ek+6Vl3yVpBX1Lr+QIfw7FeedghmYM8wprxKIjecTLRVRQSH5LfP8O584JCH6aFmNxmWe2v
-cIuroauslUKVFUoYZzYz255vFjbsYin1eNRHEyKeYCjWyXblqb864TgGEhZORDsNNmMX5x+lclJn
-NnWTLRk1tZioYmwX7rKzyOFiM7Wjy4t4Ej3OhwbhfLUwy6nGYFefnn3Pe+aYLGQ5QbBLGLOqXjd/
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_av_csr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_av_csr.sv
deleted file mode 100644
index ef4e96540c3339e9b930b27f33ab1e8c7f1da380..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_av_csr.sv
+++ /dev/null
@@ -1,307 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92"
-`pragma protect data_method= "aes128-cbc"
-`pragma protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
-`pragma protect key_block encoding= (enctype="base64", line_length= 76, bytes= 256)
-xkOjeGfSA/huPHFPbrMAsJ02JZ9Qn4CxBTtOMabgyJDyBAW4ApMcjI6RXQjiVUnFPUfZdj9wDdSw
-tfn+z4I+sKTfrC2q/d4eOpXpFQTmOoGNfFoMfvXQ3iJ8/R2rtCvTOdqckpkUWixJssRT379cbA+1
-B9HwrbXZEiTGONXKwSDxE/x+/23JTtgaxxan+WuDRK6Q7wtbvW8HEN70KI8SqRsL0znw8qJkkb8K
-5oU2GNXEJCV6elf9iOvu4eP57sATu4kGWA7xbsIhkpYSWsh9s7YDXO8IKKEL5TArNa3cPZBprH4v
-GNiTuuqxwnKOhPqTa1s+ibBK7cwcB44cTtprpg==
-`pragma protect data_keyowner= "altera", data_keyname= "altera"
-`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 15344)
-rzGlWjLAx+J47AVXwORkTcAO2uL917xAmv5zvf5V4bErimFO/LFxyE6jCR/Dbom3Zi9hItNfOTsr
-eJGq759tKf9Z0mbG645uyJYqyrATz2xgo6OKlMIW+1zxZuwHoJxBjcDqp+zGCUiObs3NzzNbD2T0
-Q5LjtNf6h7/+euNn4GMaIux826tHxxyJ/umfeBQUklyptKuhX984SNnC7GkqqKNpCAQAjzPLmtaf
-hgPqwnEzRrdulUS+wIQS1/tPgIzrlhj9UpWYSYe3JU5OLqV3EW14/knFPD8JTLhHf6E/Iim3eHGB
-yWBTeF5TV6Ha3BW0ZBcQT/udb9RGeqWlXXwREZEiTlGU+sXvUb17QxWXV+my5saHJib8gQQ2kgaE
-m+3jCgwo/PmvwLADGBK5KYUT2kOzjuRoy6bqPHnA+RMBGdN3aPiqed30vbS9AtVN21zN3Sf33411
-Pcr7wyUwXw6v2kTqvUu3gnMUa1ByjM5l7ufCYGeWKkxc5nvqYLKLFCjrOQ3ORbszzdsb7MkpEthF
-+mzN6cFID+HqQCV7nF0LqFoOut5fbibkP+jvHJ6HN+RETDlb9OBBfflZLOC+ghGf+Oe+ZRt0WfP+
-mN7L0BS0w7Bqud+NwIxe8egBomlZhMWS9wnxajrDz6kkiteDMQYQGIYtHmP96/usyRnJkY8bYpNV
-iqmU9QOYG1jMfFVCFHrI/OE/PdYiY/cRs1a5fVNJoW24zG3b9b/AVnZDOu5VvzHMoO4UNU8RDe0L
-IBqV7BxBcwz4ZOjjmaviwFosAd+RW39SIPmJI8XHWHgxLSOqX1dqhpFpbqysCkkDqI+92ah/oPiH
-H0f6eprf5FLbRgsJFXUbw7VMRQa0PM3IntCiK09EDNWkivD01mUpEk2vihQNo5M3xaZ3V73MZrYq
-QPWg2qcefhhcnyuxe7kLLCpHQk/d6KRNRTT/zRXj50ERTzF2XJWD+khcAzogV25UtEyYZKj/Rcbn
-pY3mays6Fu2LenYRybmeJ93q2jxW628UKgRxww8ZyHs4QC/zSsyT64mNTUfs65JUa4nN9bPIE9zs
-f78EuFlV7soJKCEc27+3d+e39/fL8nTVL4iUHCxcw0YXHnBwCIt7He+PA6ZzYt8U1eVe6mky0lKr
-+Kwj0zEXTDZv2Wo6uL2ZbqnbHIBoV5LlXDnNf8kmvxPl3bf8u7YF8KWhGXikTVEJsLVWh78nvu4b
-zpHDuKRrnWIbOu9nrTYubjD2jQ0G3blvYWTPRrsDZ11sF3ae3YMBegdtKqscu4GIx8V8QGRajEVi
-OZuZFnpxy49TcF31sU1qaRsB7UEEaIWPX00fP1bgCR7yZebtxEy12ZsvmS1wPqLN55f69joGQLeC
-rOauIDcGWu2sH8ZvSCSFxoBbyZjf2/U2ew98+YyX/q4ABt+Eod+YUM7l7YBJOe8FpdTUocG8CxIo
-gx1ED7byh1SEekhh1g3duQJDWxn1cnP7O9DV/WtcvqH2uZPWlbIRtXXtU3eOBwiiTHN4aUYMLISI
-WMbraPUCS4OdYqYnHaobl+QVkcp2BAEvDVWLjCKmhBl+zkE7AHegXs+xJy3CPTCiiLocorDdjcgH
-oWAy8ANz8PDkra+y1fEzkFDlX7AnUeaUBuSlxUnXL2lHrxwdN6P/EgoBFu37p55OcDzJZUQ1H8iy
-vFKnCWXFVG9F/QqjgdZOPoD95+eiLVR1iRBmkxmc3U8r/Gx0zQKwZxH1UqCeTtrG4WH/dFETFgwQ
-7qD6iHpgDP+4peUDr1AgznXRGuxxGqLCTnE50bdInEIAEhY5uF3zRFUuGFhFbrw6N/YZJ3TU9xni
-22R/KYra0JF9WDgUyc5Py+sea3ciYmovKMHwiTlyOhf7ut0cEpIbzxdT6wqtDK6IOVmmqR9II47/
-ukvkXImvq6EyO6oimiQmJcJXNNJaEm44XbQSZYT+Yz838nn4hW5BftqijvOVWaulfbOUb5BCGdkr
-w+JXUWnCtcG0+fry+xLwsJYTfjXdDw3Eo6d4pSV4eRH+IF4Jc17vnuPddjDF0ao80ol1DkwPGlcM
-+3nDaijFTi2mZiAgaBjoYnVejoNuHXq/mmx/6yRsPjGBZ476XZyyyjTqIfOwxzp14lFNCIawmfqs
-N59vTzrmABKhSW80SD1FOjqcN39MLfbcpQTTsYps4YzHrQFU8iIzfkXWyH1sJKlY0hdYGIFsC4Mp
-od6HJWhjv5hbqS7lwUK+AtTB13plJghVPWdxbAXHpRfJCqu/E9IoaDpt6wqTGR8ulgpPmIN8NS+0
-KWgApOho5DQQCJlz9H1LG8DdmAnF3IsV/akLgvmp1a9WqcE6ru0OKD1colAu4RqX9CkSQ46Zt0lg
-unpWcL5jlKRHbMa7LfMbADbotdnDNFf3SR6nbwRXSdY9Rr0wh2tH53AFmMrXtEnoKeSAKqYiID9s
-XFCVCfuePo3s0c6ZV26FdEgEvNbmo3ltXfMID9BeL+ku1BzJumaREXXJZBCPWNIjThO2vhS+OmhA
-KxWLhJviaJrYXx2y2Y4j6QUDP1m5ptYd20IWEox8oNVYHRE2ZiOSu1T7ddyZROBh8wuQh8zv6l3E
-VM/seCCPy/g96sjxKT3MepkCz4dVz3Q+7YUoPnu5m1fJXxpLW4EQRIp9bvKO/1Ipf0Ryj0sCZ35/
-VqZCMIBPONM3xin9ph1KsWu2EZWNiSG9+Q3UT4D1QWI8ho/TiNB4MJvlu6oK0aAUCSZjeEH+akfX
-rwqhNwVxVEEOI7zOmEzARPE/Bzn2QXo16iOkFzLOZ80tFYROPVb97Lro6NEpV8fo0gtIz66aCKNI
-NMCADhFwkyoragSXqQZoyh1e33xtB5pgRAPeVuwqZLf18kKXi71aMoH7+cAx42OcsXZKezsUauRP
-D+A7PWXA4Bd8EnzPG89EXbO6VnTTnc2QCRHgM6IDWwuwBlPF5ia6v2umPG2S9tqooaBrsgVJbdRh
-9UL4ELQ33yfykznqLpUL7BqLH+XkOOw4p0W1mEBPEIOpQPCufLzci4E+dPqZQ2rJcrtoOJXHtK2n
-PkObiyaJqkseQFEjLbynAyrslf91atKrGklPvK6opwVxaDYDTJ1jtOQMVy0WoTZPaVCctwbIAQno
-l+MlpfogKN88PTGVTT+m31psy6zFozeKrDAhG4kmrWjGr6Cwaz4OgvUERgWVQLUiBjhxJsMdpsWM
-z1iSrT6okFtqJOsgzUMguD720BVa1YMFuDDurpWS1lVpX8tj58MANDdAzcwh9YGX0SPwHmiVhVaK
-I/m0bmd8ld2HW/1njB3rot6dYcx4rDdMgyEL2QMgjKJKiJ6BpeT3MgJBGagBiRCtTsNbPcNdBuv9
-SDmSJ60wgVi4hJsdw3HaaJv/vpQNuuLFnmCF0N/Kv+CG9azhrrr0fri7d68+WocHIOHdDC8vnmZy
-D3SIWjkOB+CGQEJp5ehHbePY83e7gdPQGOMguZ6uIAr9pdRRbrDxY/nmdxkGuKH9NErRIbJacND6
-IeOzJV3jXlRKJnayJxMcRrqTia2PHbkGh2ecJqeKVSYjSSYMfa/rvWAXKDuv4wNTuE8U+i50NhYx
-tNWO/+VMZLNNtgDp/mfO6n4B65HkSGGMZvNjTbHpNG4dS4wNJBqcfQlroMPVdFE+wGY3nBch6XCU
-Logoot3Sei/1orZW01m06YdldVF7nSAYa6cQmZU8ihy9SvBgJkuJXEJ2EfO6qbZqQBURubZNpEFc
-46Hh+yWzv+rzWXSZbW5SvKzGrifLwPW6o6FIBO6Hi69f7kEzo88Hp8UcHIdv+vs5dSltEFCC7dTi
-ilakI8kLGkh4Vk/2Fdyq2WroXMPh6plFstSJLFXJ1XmSG4uxSU3c6jLr77ZctBJZDvV0+NGo5aTn
-zZp/PDkwOR4lLiwFmnAcjjYoH7RanBvD/GqwXuYmc7o0p5R/pm2QVcJLqo9+JDJ9C8tQgK83KmFC
-bplKeQNjWML4fuHsZFm+VhiCzk1dS3SNW03WRcrVFDoTtx3Qzu2RwV4J0W9/e26Txr80Qporb03E
-vlv0Fo9pDYa316y+sDdOe5DHaWjfTWuWJZVt94WQqqfoyCZ1m5EXA6DSZkW0EPHQS4zK3x+JecaN
-d01SqljFi3r+tDaYXuQaRYTrKA34XHlu+vZnkBQlYtPzz8ompFuT8nG2VX7xGFUCvO0sqzSJZ8oQ
-+Kjdk6PRETnC24aZm8UeyFHkWYSkHy/1jCXTovaAKv17Rtry5qKK9prUDN4GeGgfPGxSB8LZQDjh
-c9AhlEaxMhsT6rOwSXtpV84vk/zF87Zkc147ezv5jXl4csh9t2mrQubx8V2srtlryCI4mZe7/oDT
-nPNMcyRuzmgRB12FSltc2emX64YehQLiGseedT6jaHJM5tbBde1W102irwmUySvMoOidgPDOJhcg
-6/xwL6C/9RO/KhMncQHdmkf8dZIMnW7LktzP9MfM8lR1r/4cFV4sZnG4sbugIRmj7QKtwDGB5aN0
-ReQzetCCmUZdp0RNFqjw/XZTRAVi8jqozHZHq6krkr355e6IfzA8uWq6oy1pK4AcBTQmycVpI/cd
-HGonoftohTIvoqkxatyVByG1sSOAE02DG0LoZXxbExEvZnYxvJVeQP1bLHRhCwz0G9tK+KjPS8pK
-426SfZgJAsuRFzNufjkz8BGHgdTJ7Wr4vNrWfrrKSA9Dv5ethE2SqOPNNVOpWbnyFPvcj21qSZDW
-QcUtP8Xg80d0nUG0XKmHFo7Z7wrLam6y7ilWkqZA8BWKxHFkyh4vPzChYpoLs5sy0ewWwCgG4JI0
-K9udY9LiUXnaM9EeJypRHXNChqBUsy439TIHH/pNB3C05Wo8kZqtvwwOQBL9JQBx/b/7qhQpaiJI
-TAMr3fBhXNbYbrPTSZG44wqd8TeVpzAbqS82v+jMei7WpqxqzkHRnYSBkKdYGX0pJMMLCWRd0ZEa
-w/T7vcIkw2k0C/Eyr1f+dSot4q8MSnKwpf5OAXHZP5c91SH7//rSrktgDrHUkP6TYwRTm3r1o02b
-O0Dnii0nGGdWCMPg4jvp1Q1di3YbucKMRWj2rqdSclc39ltmBuwUJ8FqHYsDS4FT+sE5moiqr57m
-6aSjoGRDyy5ZpSGts9a0crGlPvJsIDA2lnQw1f6XO2T7Q0UNYxa/xkgBAWXlMHYYqdGctNIVAnDJ
-cyTLZu0PQIKiv1KR+hx5ZYH5zC/ilExRgvNSlshi0Ic2A98KLcAUSUuCsVnygNvTFun141gn/1su
-R53Q7DUy8sf6IFbuaRn2fM9l1TXK3e2++UAFYONFdIDm9O7NHY3B8OKejksCN8JmB8OoajKfFgDg
-0pmXUUOOiiWPWQcypShS95Uhm6+Z2bTjT3THawgB5Rcrjml+94XpRmsIzgb/sekWWfsWomToNJro
-P3YTdJ0c/wQv/n7S8D2pLTHUUhQEzwoYlIZum+wf4AzcE58Gw1zti1K+Dh7fiB0otF5aZlmLZj4k
-wofJ/AsxDs9FCBW+BW25IL9WC82WUuvR7pG7bi/h/JkO1Fd5DevwajsVbTIwY0t+C+x08ZMvvAC5
-yCN7iTr4wQIxki0WMuUsNw5j2jT5GKEkG7UdZ9Mz9AHWwVGBuv77ZzQsO5/QfdIuPZkwCen50RAA
-tRrtYSrprMstrCQS4IkhTY0UB89UOzB8uyaGCRjGsiZg4Ey6kGkmV3VeBDqyZFDk2JWZ5Hi19QgN
-rUnBuM+9XGr8GZDg0JAsaaNPHGPdAhOJYpvSpbAqMqvMtFEPOz9oZdstn6GIah622/3TQicXwnrt
-XOly4RiDRK3dNiEiYlTkdP/6sZa3aYrE7Fw0HH+nlWptLZ6Iypvj0cQfwOwOHebimulvJ9BffMqL
-wFzc1jXC0TG0Hl6GeYFVteolKL6yYR0KxKbEHt8w2n1D7EQX69cMON71LGOxU0SwnLCsOq943Ujs
-Xso79AWMSEBC4Q+RRQjCeqM1cNZZ7Ta1F/BwlhikRot5/EToEU7gnoFNkuMQXbpHnkFxEA0rgZVQ
-5XrfaoOfXM/q7DYoNp8gsZ4sOF+wwuqMX+1btBfdiAE8YcuKNEKmtR6kBN9rbYw0S4XI4wm5HM9r
-kqw9mlGx9eWCPKWS+JjFfclo84b3FnVFcLzv3mphuKlBEnnIpmJJt4pe9CGsNdlWoIqIgHP78ddM
-Koxp8YtDUNK8LatBJEFkxvvnBXHm8LuD8PyhBogwgRq3wpwNLqmeCq2vqeLWOVd5mOyuCp/mCrJI
-Hft1kurA3E9QGBPlJCbsqsF/Bf1+U9ab2pzre+7W9PcMj72WHItiBvYEhAlJ3+Sk812iAO3a/726
-kY9hsZiGR6xyMevhP8jTgYNEUNadojeaf4LcsmSF+kFN/PA9E7I6q6u1LNrDeM12BIcSbbSnKoS+
-opzrNXLzedp77GXTz4HIfWV/mWwXARh45WC/U65zUXOdNEkwj0ggyCGwqs8uAdIjBp4Tc+iAZHjr
-znrS1wua2OtI7YquSY06zxldMaifZ5RXOXwu1B7IaoOzfMtC26kc1yj3pJwcTl1sDx7NnddFHbwC
-Ib6aoBu8gOdtK23L/g5Iw7xcQ3FYIXViwjB0oJyidy0DNSx+y0JQgcfqHoWykBqfM/nPLzOoSUCU
-6WtEwffR2ZYXKbggxXshhWpSvCnzvNcmUKQVlFv7ZctJQtvGvjWUfNcTBcK3bY5zGiKrnlJHup0o
-scCFmAylz1HhGZ2tB68KLSE/3Die7WpOnoHOU7EEEQlqxHtLXZ14N4gh1Qk/cuYWc+iP180tEm2i
-wDRPOV7PL5vOGbOJJSgx+xNZLRMcjA6r89cQubhojMZuYHIb0cCi15W8hX9mX63B/UHmSKkgnUda
-j4JUZ2xcC4bLO/ONf0FcMyCA7QEJ+aMwrUthLZO/DSFZGcxjY/61oZ+zsgyKIXHgiQu2cBjfp9yG
-kc/UGYGi+MTnW4ici0rMQc4H8XQKjqqTcTdzpBm8TB0wBrsNMTdVBavrTDoMCM9Pxj/j/TUXxaK/
-VeQiFvNpwpIEmjylhJcXB1v2JWet8j18bwLGa9nbG1uwOx5smHdP2ZcrIcwYe4XsayXrx6Z5jitI
-Tc82Uji+FRSQ4qzCealzpD0RcqLzz/X2ssWpTf80Mc8D/srZoc+xzkv19nk20LfRwwODyyacBTL2
-UcdVDbyPt6BJdOqdI97jg6Xy0yl9EhuoQTpSrTIVq5dtRHPs4pxd5OBB9mVYBdkthtOH23zM5oQ6
-zYyYJfJF7WWDBQdWCThRwmigh2FjWKBT3kBJAAfiQjdG+9pP9fjjyoNQLEOeddtHXt0o+qokQWVV
-67Z7jV9XJL1+jcNv4Be0F0AcsrgeMn1LF+nv5/2klDUYuQKE5zociAlumC776+dG6gG4hYSRQRcv
-VjnQbA+eMjUB5sIg1hD2C48LURS4BZ2ObA1XJn90aXgbaRktnBRI1oJr/NiyoBQSO85XuSrnN7bV
-SgWIBNNZL2srp+TqA5hm7xhHi1ZU4tYYdnj3CDY3w7R0QNRIzpMQInQMVPZ4e5tuadKUbV9Q8Ko1
-ras1Glbd9wtRVzF88NenjU1AcHX6PFWSibmKZrA5t41aznI0u4xyhrEwboZIhYgRkSnAMjKHzOv5
-/TqhlundwLP96AoLebHZqJNUXS5pxE/qRWz+hNp1N0J921ZF244CNjN07qy4jFXB8aAe0GQXp/PK
-wFq7PtGWfVvuqYmAdSR5AkhbPUz6V7HLXVjtBqJtpdJPQXob6HYsnC8XxwRCoVyiK7r91elTPHgd
-ga6rtU5dYnkbbOaVdYgdLx0s4row3FhLZuk1lGuluDFFxaesMlfUCSQz+ToRkLTjotJ4zijXDtVB
-ZD6YpstK1gIBRANxyaAiX2XU5MllYBjtyuLIGumupa+LLXkpFTZt+BcPLZtq2ywdxPidUndJtEQx
-nqeMpmtwVb1TNCJiewm269uEwNl37zytFKSd2Ml2b5vUrinuNQc3pjNR5F0QFuU315UcCY5S2djA
-DLomwI4buFbrYEPdHA0/+R2x7WvH1aopsckXhGPEH0uAli8X7Swuus6/AzKvKe9F08hgi79GGivw
-GuDUPa8R5bdW5pY3X6EciaVGhDXAFikx0L8hJwVPEBJ9f6RJJLVKr7V5QvMzsOZrHAXtnRIZVsuv
-wutm6/Nvb43vZMd3RPr180pap8t990mcjPV0lt3QEus2941oKCuOWxhczPLhcKKxiQqhCAcpXQw1
-tsyfS7RdyEZAw3zWIPoH+WlcLx0kiBZnF0vA60m9QUkimFXpwJuPqzRfvvi8fKUUPhBwtfPhvH1x
-dLG9Hv45FA5Jd8ID02wmu++0BKnmoVXrfGlwB6i9SWeTaVO/fQet8wlWMVChj/eFNmSMbOOsaGwc
-YAxGUpxlAe45l94E2s0ibboALESYPeNwW2j0bmR/Qu22bbGtxGow2aPC5fkcrm6UeGOhuX51r/lo
-XazCV3y50NBav/LcGa523GC6RaAUwmStgda2FYoSHTc1CZ+sPP7DjYmJUDLdv9igiNYBGF0cp9lM
-LGke+38Jn9NIOQBuqAvsJD4/mBZrfGWbkkacJcn7vH7I71wnQjY5lA4L6d12SlamPX8jUFT01L4a
-4dQOCmmxr1yJSxg/egnfwX/OmOrYXi30pyoriw0+aWegBhbE7jOYDf50kMhDATfwM75hO2z27fn4
-r+Dp7Cc65eUAYR5p5XUmJXt3L86MdxT1daCWL3oak6IWYki0b/I8TlVJN2bneEn8dsjUYq8WHNJM
-sVp6td0P+X2MyO0ITD9xtw2pl8/qCwKZNnhtT5GQxEnA/PnBmwe+8u8Twp/V54KCS82IcXhcjeJy
-4d5yJP4HBXGtFsx94OQTApAsZpUSnKp2gdUFMN79b14CQLQYr5VspQukqfOV/LK9MdtjrEvGId5Y
-gxm/AqQBFy2Fm/8GpesJPGp78c1Jn3M1lOU6XjlFiskwbbniP+bn6kbE2uUMBmtg4/t7CwTdu2NY
-TvS5H99BXONCjcCLLz9fa5MbluqlJA9MaOQboTHTXCK5TfE7M0wwTuqLAAVpcBHPcwIgnY0eAwIp
-lHp5Tjh/F+cp7LKaQUdbccjvStB5Po3JSQBUIX+8rF058NEc/YyAZkyUg8P6A6AzMf8zECYe5t1T
-5u4XnJV8lRZ21EksVGH4nb/CEOnzCSGvLp1iq5yZJKBBYjlZOPITmh+ygEgh8dy4bJQk1PTaCtmM
-Pj1qi5b/uGj6VKPug+hXVpdHht1767RJqeZj9dpsRuUDbWzrfKu4phDpk9JGCgZicGdI1+imJteg
-+a/kj8sdbufnjM6Z5+TQxvBc6Rt7tlImAUa+WHDkYVCsaLKXRvhAhRCfx5Nb8intImKddPUw+ZWH
-3clYeVTSvv9ZSgSi1Ipv/bFGj0djhoDBRE58wWheW3eHkBzPAQ7rMn8Cj4D4XUbNxy1snHENZHRW
-Zj0cRPG4I0uIcxI//p41VGyp7D9F6MQTs/aolXiUcbZV7/+0KAZzENlpMX9jqFw2l+6Kw13S3s2G
-rCDfK6PNBvldGGDi86dm1X9lNy4R4vTkADUJ+NX6Fpq2b2nwxTCjnDiLV6zzgdZY1NoJOA+vLT9R
-+eQqA8fSM4mErIPhqNWDiTYeCg17+Y034R60a9SCuL9jssDt2ok/9Mbc+eo9ujVMpdE9z2wpd2Yw
-P3uzkKoqSCkBNvPpzCtA3aDM06bl9+lWMZMplWXjxIbI3n7kkpLkI8RQWk4d4qkDdCAADZpWggQK
-bEDIKZHXycpbOgtLsj+ikxH/JoI/aMOV/ErlNNCsIFB8/oiCCjiaM02xvDKMHkU0n+XMhNdD5l4m
-7DgptE/GG7jdnByzPRVxa5lBwuuhQLoCaVCGfeNXSJK7xxNoBQ7dtRJMp3vw59Ogl31dkQIdK+H9
-2XVWJL+X4aF7yZB5pw+G2O1oKRmFHczAp4zBB4OdjWPyKt6Fikm7fqTVWCXthSGr7iwzZsOQiqjJ
-gSybRKl/gPt0M19rFANXqqUcOGPBfWrsyqGQTTunZtuA9d1K9AS6Ce4Fnq4uLALam078AOGYoFHn
-ItMoeFQB5uArBsVgHayj2QFlOwrauUg2mLNQ7BEGO5UfPw0lqDn9WIrxfObEkKejngkF55PmasCM
-FRHB0UFWb/Nnm7CYVBdGc5RY8Bj/vLFYWpo4/ZIbYCNGif9teErmKcm1GpRj+AgChBHMjYzFJggZ
-rB3CJCWvCC2ZcgWwy27gSnF6SPnwdJ5wYXLHeRsW4yxxBBY5CU5l/iUgVPz96dr0L7L2ssGZUjPr
-ItTJJZ6altE8FFIXrtFxsRqhvNCyitNdgKJl7fhmlUC0hkN3/QrC2sqnDXO3D84cKs7bCWzNQQ0E
-Lm9Ed38Vj/1jWpQW/tkdpPuGkY++mmHFaCrQjrMnLWaoh1fNPiD35p6sF3bDZiWWSX0PhOe/2nTY
-0hISnM9UazbozTJy5TeDIKZ/K+9TGnIXrVkZm95JuuKWncBFv/HwAyJMArN/Wt3KO1jvWgN4DaXP
-3U98xtzG0ExUQqRqtDJPRRXBXrT2Mcl3urnD3/RE+iQaNLosgLZuOgh3WV5WRckjIMqkAsNlrk6Z
-PHjPd/R2t7u0oHL8399fBGXOrlmEI0hp6vjTNfJZiIgNsP+szxNmDkjsAPfZi45g20+dLn8xWa9U
-5zkL3ZmbhWvELjwNyLLzWoKokTn7l035wy3a2p4Vaz75u4Hu2orDaNrVOZIMc6JGW+JxQIvE72G0
-5y6yP+gkcdwoAFjA5Mzomz/U2k8iS+sG6KXe+G31lsnhHLoRWjUtr1n26TyztVWMa1fe+oy8YIwe
-3iINDzIhfCY7gIJyiDTmjoDDyyn9YAa97PQF2iI9gmUeZ6RlT2/caeJH9RfVywS4CtL2etGmsX3A
-KVX+Ewx+ZeQhN8Gx1/jV2zHNyfAgWdZSn5AaKlhnVmS34IJFcCd6QvB0+VxH/k01JN2x4ZrafeOY
-Lu+3+sf8rhQxt00HrtAlpGkkRL1P8ljbnPtstpRsrke2MyjcGVH6kf14igaurXKHDVgEyAq8Y/Bf
-ch2nW0Sm804B82ootVFcLOsCZvC/h+kTde4s6L18+++S6WnAUIgHybVcpq1QLL/zeIpX+Y/uB/GN
-e4nf3HzEnS3kFyau/ScFksSE5lVpnuJBiA3JQJde7V6cXRNbPM0u0qoy8tsIFTfXr1vwPSKZT2d+
-Ac0kAs6ltpzF6EFRqEeaY6qyFPfL5aatTQwyDoFTHXPM8xTNXgL5xYUeEhUSLMJfC0hMBxaT7KBS
-JGbzTLwWkx5gUNAASWtUAIeVIy7fdjCp/B3ROUZKDSs22MMY2uOw/BjZXaMyUhdJgijNkLydXwHl
-kFp6uXasdPs9nmyYflSDN0W4rM0zgCc2aAaLeh2mKMc3tQZsLcuglMQHAazFGg0Y4cfl79mSfdc5
-ezHsberVu3BZitWYDHFtgaCJCwaIZqJXfO3x+ij4IH2z9NqlTzV4dB5OEEcA5RjC0cWpJBOPhFTx
-cDZC9LzT0jsAqldsmC1BCS2qqq1craZ8vfNqpQfAe4pS1FrEkwxSRmVPPoYbi75wQ8/YxGozU/K6
-kVpQeUKqlbhmE5wybfOGoCJI5B58iM6Aaa7YtIhfOXBNiTpYHp9E6oDtCkNvcd2dvhdxIjMFmIZ5
-yDMBIi2Bl/u425V9bJGMDK1mmnBz90LKRm/HY/KIAPPGztZuaZnfp/xE5X/9i7UoR3XRK6ZRl69Y
-aeMLKnwvYRKxCf84Wwc2axjxaXX7zih3Oj92gqz5tXt2NpEDKhPazOzB2mjHf+Qw0ajcrEv+RHl9
-nE18W+htQGKgMDOMNAzDD2SoxYpSWGSUaRo8FwMXEFlK+dTLJ1tc6whMddTV6rjilQemPIf++Faj
-IRMn3Ao19nxZyw3/z+HGCxaBmIX1Kt5XYxtoNF7o1C9EkrmrE1+nKXVXb7JG8p7EHGLQPzjA8Dpm
-eFMrX2uzE3Z94E50i+ZyOJOjChNMl3v+mU3AcChay6piG7363LXNeeh6V//R1Nwwlt4/VtU8VEr+
-ELenyXE/yS+DjpQxS5g/kqc3TrP2lvZl2JoA1Qr5xGCt+7yuynfOLUydzi6Vjz1tx3kpxYrDKPVk
-ElRReUHEbCx67dnppkoGWdNkdNenVftM0TZUvc3J0i9aerHdZEae/i9ZyYqx6kUKQwGDsnqUXPko
-E9CSK0nVDE3jsiQvT9muew4QisdvSxxnQJ6mPDyGMrr4aE0G44GycqozIxUNE5n0b5wR//o/Z9Pn
-rEiV5UsMvVTh+HUpPUVNSglORTj5raX8os9SGT6Yn1RviWieB8QVQ2BaZBWoEB39oO3p8U4T1+L9
-Yk0K+bhVkX6J7B8oAFrMRbfCmW1hgN6O/BmEO5vTK+5mO4oGFIh20foR3i83olQH8q6zZZE+BjlQ
-1P40h0UHaXiEBe8EEU1rJIoqBujgUBohm/Ww2njT7jTOOZ7fudRXnkTVNMsoPqS3ToBJnAwSLiBo
-JU+sXcXxNitXsXlhOLCjn2/foDw4/lwndKT+gnrRW8CgfTUAq5Jvg+lrY+MMAJaaVUFsuNyEWR+h
-RGA1spYneB9U63VW0Bvb2zcCnx11tmBnRTGTlpQRi0rex4aJBCi06uuEBsh9HQi5Aj9u4TWvO8C4
-0TQt26UxlYv6VICsK+1NooVbgbhaP3E7i07acH9+FD+JBGH9hXNzzv6KlOrS6otiwOzJh4nttamU
-6KvUBZQ++EaLMWzrKNq0jTaZUAOVbkNzbIcfBZBaaFkDfAtheeynYYdSjTb5jWAzPC/NEGh/hBG5
-L9bAdJkLdGCrt4K2wNPAW30HX0o2qqUI+DlTj1yslVOXlaGRtqAas94jcvpPZotMX2IrwHJ1bWx+
-RTN/UDsB0lWHBiPzvMRuFy6EtET/sDqrbFndTLO5BZFlprRxVjE4io/lbblDVsZ6V9KvIZy+U2Lp
-Ktkx9nxqndqXO+kJT/ewKJN5YwnTcDaFCcSrHbCszSPTJ252MFTizc4vF/+z8RzCqUIzVE/jdqVj
-VS3HlNdd2BhP4OonfPYflCe/I2oig9xOEqAK+hYrnhkIuFihdKXrPdIIfos7nnqPwK0FtfpRLjgB
-0PLTtmI8Ttp1dWIdXx/AtRHlDKrwKlVGzmwuWZPDtMJlZ9xQBOK5pKWGUKcSdRIBJ0/nVLUpqP4E
-rVR/aOewmJgLAaVEfEQvdbBXlKbMaUhdbgyxni9ZQ7Iw2MBvwITyZKgyTUvRmHN6WaPnWSCT7YUs
-WuEn6bPCjqpyui80VVzSNCs9ghwREFy2sjp5RRfGXLu7/7z+AmU/h+wDYI/n46z+TE/brjyPTwLc
-RVEVMxnSIv6rH/o1lhViHO/87UQ94rxvEhw3jH6S8ZVkEjAkNyyJl6oLeHyMg2yp2nE/Qm6qBkSq
-YpC5CeytfIzlVrvsCvI8cHK052dkw0GFCk5SHFqb9cGClpA+fNOTzkQJVaOSCZdSjoHh2txJN1Fz
-9KikI75ao88ylRlDyp3hc+Tymye7QhtY7bbeXcAZL839sDATEm43OLcXaQzF+99DguTmkn0Ltw8Q
-fV86twmpokRy0MvT+ksD8MmDkgSS5L9xznglhB+2LCkYvVfTyMqGX4Qh3FtuV9COFp1F5WhuJfFj
-hiMErpuSaPgH7IG6FoPhsNCR/bDixkNcZa+Ez6ghpb0tdj7rLtWFih2s7Xc/VcA6Qu66cNcCiWY1
-IsVT+VEof9/eBsJbxoQpCK7ML0IaSV2tRS6AvwxEeL+8656kTsO2b4GEMgyqT/lUk13ozVHV9BYj
-ktVZ5dwdQ5hX5Vh6B0A3E1Bm3yyADoiDQi2KbBvzhpDtmEblnWOn1XcDwmmSEHZP+tcDmLtTmqVT
-rDepX0cmqyNjfYAjITjuNTqE3/Jv961D3dXvDnZATnir7faJbcZXCZIomciz5bYYdLeMJBIg0c3x
-rgLKg53x/wdnRJuyc/SiCUs+4T5fK4DfG9JQ6c0Qo4XWrfOa/Jd2CcaWTOVociPGkP4rI0R+JHiV
-4X003FS4VBIko1voZ/5vulf2JxLlWX4/vxsHv98I4BYPItCvJReV4pCR+2srkayakG+Ga/U0qBZZ
-h2ARgk0EL3o6rCQ9HnGtQgv4HtIui6LUUD5DqaJ+HsVj9JOVOommxIB/yStHjQvqKvDgl3XF37+G
-kz3SYBf6yeo1KpeoXUWYP00tT4lQu7ewlwnSR81gxlYdo+cdLAbjRingnQ0gUIRlHisGkY+kBJLh
-RV/HKWBUD9va96RoV+p8iHA/vQdc6g4soaPrpu8WxBgqWeFUADz0YcNzcPfebaO/vqVbGAwrHXiZ
-z96FpG6MXj/Zz65Uz33PRrvGilsJdQ3K0tr2Wp/RPaXIvNQLrn7EU78JM87c1nMXN+A1I+ZUkLB4
-0sOBq9DLDaaz37D5j31it+kT43EU/kMUMqt1sejhKAzxu1wJdmoSmeElagGhPgkEzsUkJr089p1d
-+7g7JgMqGNPoTBbN9CWfUPZ8hkW1BjeZblnIyFaafPlo94hI+GCOprrcawun7sEBclLaa2Esgd6B
-yTKWChmd5nW2OlTbYn7UyGUQ6BT9vtoLRChaOzQbQHj+luiTtOLFRaaLoRaWJ86KuSBNiVx9rJJ2
-j9Ja5X6vvJ6/giNVUz5Tnvl5JO0FL+PgA47TdTVkf8AeV4vyiuHERZJY8pgDuQSwpzU6KhODaxfR
-uQNr105Ps12g+kyRMHXcC9bvm6UzRJL40UqXxXvGIc540gwn06K0r2PgZT473Qlgr3A9mPu6AEkg
-m7XnyeNwwYomTtoO9QCZfsVXjS1X2axxngZOYgJIXQqErMYo8dY3fTKh+q0vQcYUfOlHb2BoTOsT
-z0EfaK4CDy6wo9TRxkciwUL9cnGEs/jCISg9Azch2P/m/qvr1Bh5fJqb2DKPh/f2+hERmmrkV3KP
-QxixFyPRvE9r2O1ZZ3mUzU2n/2+fdqoWFZkID5Qnb3e54LoBV9slc4FQsGCbWWXTUMrcum1PjLlv
-xf5do3P1HiDbm5zFm0WaBqBrDLNeO4anzDFOgPWQhrAlWwmpC2N7neC1+eMNlWiS3GlBpVgtwcBN
-hCbrmdvwBRaEMlbQCikQqXMe2I/93MuhtRGLLWRIcyjp4MXMPfhHb6+umBLxxtT6n3UBuVSHlz4D
-QednxqpyduqtM2Ld9oXlSmYBAXO1IrorntF0UWetssOJUh6WHf5kJbG4obNrfhiA8nqSzUiCl+R4
-0Xy76AhbX6QvNthV31I2xamJy+aRtmM7wf9jzmoUHt8k0lDfyjfRF+ahI/7wuvlNjTkBEMuxEh7k
-+nlhM/q3UCjZfgqbvbGdX7f2fs7UUacSO56OKu+wOmqn6lAwD2khoUZJA+OfBDeCUXmIEVN7Y7ES
-bDblnwufgJ39VIA9+vxYm3o4fAuKIOTprbONS9dlwHxDjagT7Q6wp/qiOIDqDqbs4J5ndIdE5LRU
-alNYr8p1AcHOzWPubTZ+DxemYmEegHxX5/wOJHVTWEpL9GkZAl40/x9qzXsdWfzlsUacl2evG2s6
-W4gqIm5lH70Fk8Kd/rkg4AR94OeuUrcXa/AsTNZHve05oX5+ikK8yYQ4I+XEYalVyDq/LpWemONF
-nfWOKR5kAPi8PmQ4OKbrgHhQPL/hc6iepz6Thk+lJBteshrEA+y+301/fXTnpiEQ31Rbt+VwmlXq
-0Hd8cFvIBskmX57a6z0UKVoweXcHaNbFoe+NcacYPKoTNsd1KykkHmzQE4Z9BVRRvSY8IhqdzLUZ
-p0ZJszuwbdR/eAtfBQJlQpCv6nMvSxwgGhyC7xHFQhMtTZglGOnORhCAjRlRSPNT338JKQ4ZffRh
-riipoH4DsQA4xTxsq6qKvSa7wWLyt2D7OHMe7hsvKToBIxbtg8hDgl0oMnW6GNzyKRpNgxdmB2X+
-EOQ0MCwYnTblXJcbyEwwc9KJbDG5xQ5oDxbtOyFg5groJNgtkoDRQ/DuznYlAcY1JeOEHzMfVp4X
-zwWWBbirw/Gt4LoUIFPYJ0PbkoHG2jWxl6NAjR/zEb9KzRv5XBCK4TrEUipoeWKDWsl3T0sSY+W3
-VRhj69O4wwdJNXcRDzxKbzE1FGSrIC1asT8efgRnpPeaZ1hqr6v6M3eT8jkuel7MlqSnTo7zaWnj
-JvzYLq8mKN89tt2G7dk6qE/tDMFgNyN2EZeo44RiX0xy3a1uG8urAv6Kv2kkHA8JFHAltmH/YMM9
-YL0oRnVGJZMU9+1FPKQ3LalW+2cjXq68LhmzfWo0HqPIbeH1ujdZpzWhEi1juuUf/LVnO4jWzjtd
-oUBVywY3+VTVDdH4gp8t9FbdLvZjx2Y7Xa8ovTE1WbnnwUFbvC2m/8/AfNWhekcm1dYr5VJBCcua
-/2fUDl+mvq2cCldol7jr+I/MIasPhdKwqSXnuhLRP4zefGDz0wK9N3dvICwHHMGnOm5EJbbQSayX
-DXN1HkuvsZr+6G4BInHblED8Oc88C8GKqQMNy6fqmCxhPK2eZGDsJYnNQW0Slk6aFbd6GZe5MDey
-qCu63EwrR79lbwr9HIYxPMXoKrMsVs2BJyzyqdp5V3cGeciRsZUw/+xOGJFCRU+6HPSJr015ck2f
-GXc2MKtGxNlZYbbKGezk6HtfTSWeuzg+awSs2mcA4ZcEZVs1rkaN30sN0Z57ALKXihDoaULXaFUx
-2QARoQHJmyRpYZBC9euJr5httsVAVnn9GEcXbM5gv+DsFsFGJk3U9EGmvKjQMaqm2OqyRCneDEds
-khYLfLWcxTGEtYEl4tuMzDc3FkoRdUnBjrLTRIAl4gKcSWckt8csPfXnOpnUogLf3pl4XsEHUZW1
-pEhqskkN540mMPIbFicYZqgGe52oiwxebDZCubSkCZ1lJVxwQBGeR2kS41rh0WMOXfKZAKT5fsOl
-rzN5HuxoUDDF68XAhMyldxTfPeDgmfvDipTHa44dKhk8XPbtb2CyZE6eRTm0G0xPcbBQemjL4+AV
-kCZh2sGZP32Nh/Fxjzb3O1w1ukKuch7AD6huSDimB73IW281yJKzO8QrM5q3oBdzIEPs1gmxvZKh
-6UkXoCFXQbJirM+3dmmcDRj1YFzwTaOg4IEsyZDyQZHvGBq9BJvtvnwzotWiTz5BlE+e1IIj06L4
-xJXacvNczVQbFP8dR2moXHlO/9WZ7F5SoUHASHneiC0XO3j9/oBiYTgsZg6GvGbqmDTtRKY5sfk3
-Fs1gOWSSSeGKRzHnHTyCzJjpDY3EX0ogAToXUioaiuCfNTyUYAgdv7z6ku2RI8i7v/N/JqGcHVaT
-3oQydw4Q6OylEYYXiOaRyCNsP52V5bwJs/fRRevRitb8xPnAivwgPcg2cJ0nAhk9+4a1751npnSM
-ezop0h5bzV3WbWwar3Hb+T2JkJMLdxONpR9EhzEFl+SYszhli9/X7Fh1na02e4w8uu2nKmL3sIo+
-7qXq+0rGlfDH4HGeZkKAel5ie1043op96rgf2/zbLqonwrofczuyMwSSOWw8e9wWf3DJMsmZsAN5
-98bKmMdX9LyZQ2lW/HcN4sRRfow6uZ6m9Tr5RY3fEC0KnNbfAnIqcKSRmwVYE5fMdNZko1xmzv2k
-capA5uVVuqzHRk/ja2uLp+b+cuJxjFfYoN1Br0RJqY3FwIu698RUnSpV/OGvbFnIHwjSUiIrSb+v
-gDGNKEOoITfuhvyBSbw8ErlMNGsS7fmiyb+QJV3sSeSqsx8JxJfsPq1i8ZuFnDIxYKJOx7dtvLvR
-AIpSYosXdbv5nENfIatSkqQ/VWeu9TwtZaVOVg/VK60E+jrZU1hh0IrctXaDDnov4XP7ZkU44Znk
-I+LY7+eLOXqdZLfJvpsLWuMnd1V1dNuXEorgNJqAiPagcqhgA5YMuPT2S7+KX136s8SqtIvDBF5d
-3kO583EF8nfkiaq5DJ5+jS7ysarUS4THmSZyh3WTpt/3pv/PLh/gwYHo5Cb/9CXMM2eo+2Yrtsng
-c8WLadNlG222pX4Z5RnWzU4iZvheq5qXw0FNHZjVDML+kuKqlT3dEr45Dn4EICDhp5uXByJ+kP1G
-fknh5dUFXcTl4EgmNERH3jwcrGCJWnlEG3Tg7RxB6huJ/oJfY7LVvY5KmRiwBbNgFpAMKzR0Osly
-hw+d+3M3+EtQLnIcdAiwfSR1gAV1nafrSAGeTOml3MkrOFm67EIGta5RUAtR2x15XfJucvglKWvz
-jUdunTL++kJXXTTj8Wf9HbCo724NRv4zg3Vq1HpI/6HLY5BY1lyOjDw+UrgOfftXnM884+B85ky3
-Wx9e2ldbJtuxJtTYhmmYTcJ0qBs24F/27MvxEybaa1QcOK1rIuvAO+Z+JDZJWd2h7Loof/GZxuxE
-okV5zVSpb0xbCK+HImxZeqTRz/fYQF1n2Co6THYE0xC9AgY2bWFMH7NbNqNYjrBmxVwpbyVerwz2
-5ZiIwFhx2DpHCBMg2AqZmRiV7bnQVCw5QeaIHPkfy42QMLjjLTzC2ObTt8S6nVcHm3BCQt2FGiPh
-oDBkwbc7qqekgRmVQXWJJT2p4V2yoUxywvKLtTMSsXFYv4J0LC9pwsvP+vhaJQF1y0fs4SZH5gr7
-TquLMdaTy4LGmo4SqzBNKsCD3ci8NpJ9QRtKiSv3tWOJf5nDSLV2LqVKB1JlmdXiXwdA+QFG7Sa0
-bA1BAUt3gUN2uzABKlW/t2dAMDT2JgEsU9+uINfHchBNTyfYPsHR94D3HCtiHifOsbojuMSRO2t4
-s6pNKquAY0/G2CNAfNSqWPeyA/gf6XxGdrAuczEy42sNNzkixsasadenoNqYYtW2uTJ7C2zIvT2F
-al7cSD5Na0fxiokDhHzigQwwigWmy/dimK2f9uUXGCyK4oFDUmVquKxGG5fexaZTsCIIdLOuz6Vc
-8nUgn8lxbrqb0uNbGFCT7kYOAExRy9L9vaCZL+fSij8AYL7rlDOVKGgr4sq25Rd/LWVsXCP4HUB5
-9tEdmXf4U2DbLJI0xYbe41/ExL/re32Fij58mm62cdGvG8udk3mhILUucExcT2wvl0lWgpLMJm8F
-nNKyIzvnybKebw4ZrRewJCArHPs6a1yvjoZpU7EWR6A4C+xorFVBj6q+/80f1ehTu8PWYoLdczoH
-1w+ioSXh3e2LnO786xaVHY/6ZRhRyHNzpV4OEZmYvRrsWOQUqOvaZhPCdQdwsiqOyyWInXstnsWm
-9MlkgWxFXVEEQgaNOUUYTDoLTjgDlZGwrRCQZhUK4zcia8IblNWKcB/wQC4B9dvzlSlK1fkF6EwB
-5zoXgtC1M/xlyYF3AKFiEBhSbGut85SdIts9Fps+yMKUOz9KVbDxOl1aEidnb0MUqu08b3tC17A+
-dqAYTsm5SuKjriX7SSYsL+rFBpK8Zw/FHS83+B3tdo+3sJzKCZqA63VFuNVES9npYV4QAyEzMrz7
-M41UzKG6RHiAN+sSXfMRZQrsl4fNG3v1DZ8wpxif07h+LPObMn/uuwYkGd6s6MCMkw4BZshA84iF
-84MlVWJnmwI15oXvM0rnt159nJ9F1vhg5QJwXTpga1CcV05NgHJtRDsvZH5kbRuw4pYoEaEamZnF
-DTDQRJSjouGaY55hjhxXcc/exIRICkpqXxx85/DGFlibb1BrOtt+qciZI4xjARacsz4zRx2xeJCM
-asoswP32uqycQO+biAjJDhE9CAl5/po3PVMGsReNA73vPiysK99VaAjO0PZbBMc14now7/ERLVpS
-cIdh7BM5VO2cgHNzS21+0N6XEqwjz7JS/vSmN2cFgfXFqFmFVU3KL0vDixKM4rXwUlFsLdFmOp5W
-8FrBFcI5lKi7YzYTXv1D9kaqR3cLomwhApWVtMQ65wWyMa/1TPWO82Rkl1T3GGQRQ9gHVpi7UnWZ
-PpxnxqFr67jWCT9U4eF9MOIu0FbRZEAJrWXAcqbZizq8NMPJJfR9xvJ7zDx9nlVLoPCkoSAjOBou
-khqsyGIokq53sTnsQBK9rALCteVlr5eG710LNwAQYyQIfIDjkNdw1So9LMP/PS5rUs/n4p+1JugN
-Ec8qviQr3YZtbJ9K9tNePQNIpVyM8poTc6KkRR+sUE/CQ9Pd9zn9UftlFLdaYo+0P64zRFNkg70o
-wyUWLdizuTGWx0qCJQ3D+rKl8FECGJLcyTScVYsFdb3o0HE4NpNiGy+MhCzD+FwH1DZeOrEInSEv
-CyRVkp+WtO0U772YcauNKDgvUjZcJhyCbunODaffPZvbRlzPk6HSuT+ejkwQmhjr/77yIVJFMBJt
-tYLML1ijhjSIfCri5SWJSkC/cYSrpfO33ixIAQnvcMFKsmUT7OrxD4qnCaGUhyL65H/ybQZR8ZYE
-YdrHGoyVc1oS53M8v4tyDKovpPBp6ZDNZSXk1U+CK3+1maEpDkoaY1z+ilvw37Kz0vvb7morxkN+
-/i0yoG4rFWTyAshuhns6XEO6v1gKusKNUxpjcUphIwD4K3sjDZheo11cy/o4699wN+NPBC62K3E2
-kIIMcuNoYjz5al0=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch.sv
deleted file mode 100644
index 67941862d8591dc39c8480f74a02e957ec8bfea4..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch.sv
+++ /dev/null
@@ -1,100 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92"
-`pragma protect data_method= "aes128-cbc"
-`pragma protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
-`pragma protect key_block encoding= (enctype="base64", line_length= 76, bytes= 256)
-H5tCxTeOBZGypPhXq5SZZk67590t3rIbnh1aV4KKs90Tb4noMSyhh3OYHCtZ5LQhrZxifLjkVoY3
-5mUN23b/9MeX8breGJ1KeNrLdhv5614Fb8BDVPa75ocVleQVpB475IZFLysqenDpb1+Ovric+nNg
-i8tN69udjWXx5pbSHmvfGtCbW7weo4jcIDYCIMfPPIXjwlWs7883weqH8EcMaNHY9m2q495s1jo3
-BUgHfLIvPyZDbGIHxKGD0clvyPu1nE3BcDQGTzDh4s5VRDV03f9tGcmNLdy1ZPmUprwUp91dTJaq
-nxk0P4+PIm9z+o9JyEh/4f7gzceb5SQ3FShpLw==
-`pragma protect data_keyowner= "altera", data_keyname= "altera"
-`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 3568)
-o703lGfEP+LF8TmwJGBQZxC8DVUDyNtKroa1XIRbKgEL/3PIu9DX5m9R+szIE6B2C9rBcV1wbxsk
-J8eaQL6S1ViuChf2gp9YDvXFyZ7VQYuuCnthYF8dF4j5ChLrOjDigb+fkQ2UrXYpoo4SkVHc28gC
-NoY9SXdbMsK5+7HeDNpKu7sEtbVm55bUTfVGgzM7fDqR6qv0dcKPCCerxPzXmCXuHZPASk3iS3q3
-UEfWlb4pIDR5uXa0KRqS5tNTfbDRbDzDvWfehBcdLlIZ1385FDDjztRzh9BRweUEaCk7sdmwb2DR
-PzLQFNPNWS4jnXKp6yc7uNaabTLHjDMViwxtPGf1P8/EDFI40gyYPXX7Bzdf8naGBMU2Y4pMFBDD
-GJuKxc4e40Sbp8wFj6/p1DhV3Lzi6VarexEZukVYVSdB6b0NOaAkK+jq2TJZ+MVMkLz3w3iJJNgN
-mqa0pqwRHVI5gywl3K7cau1d6HylY4nWIsayQvg84T3wbE8GUa+z/8jdwX7zhfIQ5rZYjBbQVwtL
-xG0HRUZZmmvQYVny2jgJ7BgbGHodREKfrzL4mv5ea74dCcjTEAd75WXGymCya6XM8e67enrXheCv
-7O6xkxIVU4J3VTzrgH5Ojj9UMrFdC0zNQG0CZTjad4fBW+FxUlNF3EYgS9kgD7p6TLeJNC7NwZY0
-mM3G3e4qZx6mh1iiXxmvdAcW7rO4vtJQJI7xzb66TwGi8ZwsYLE/P52Vq31WaJXQawbaw3I76V+w
-jACG4pFOXXpLlOBLdzHCesqhz3S8G1nheoFwXG53Ye4gNcsYKJ+EkjwouoVqEHQv2d461K7pfisP
-apwKMVjJImzobO+QGVVTTYmCU5+OlD5l01iBde3J3pqvFVDvL5v8tB9lUXjFIcxB6oOPC3uSEcU9
-9pmTb28hg319PnzICJkNwRLdtfWqA0n3RpkzGQAyZGk+AT8KViRsHJgW1QnuExvgxTlfr5WGxCxa
-GZOVgcPDp3VcDqyh/AA/uoJCOZk9Bd5R86m9HHP7O6CHVg4zBOJfOC/VtxnksHFFUWVveUyVoh1C
-AoLiENJ3sC7viGZoS7DGdAYxY94DZHvdqYO1lO42HIikgJQ7GG8LCusC76fDLPptDyOlxQyJmeu6
-X1zdfg0aXpj7B96shPHRlIidJrFy7d/0tGUbn1pkWLgw+fh6A+vRVSFy86ySu/UGuU8jshqwwcwe
-yavAgyEkXKiTM3sa34S7rapl6ZxzfCIuyz2rVwB3dwfBP0kn1EyVfN8eOq0evuExr/itFPZmEXqm
-ZfhXYboPWUrsb/w7N92JVOy3yJANtFecZkAj23dM5JdOF6YIuGUoedagm1Vy2K3KeEmagig0I3dy
-ENCULHblOVgtaJce2C24lEtwCNHsQq05D3j5LUE7oxri8wxVlM/AC5or567XMZx0F6CX4KiziElt
-tXSICiKtY3/5Ivk2B3ntOnfry0fmmFHiKGdEbOMoiju0mcOshZvY332glVwIcQk2dC6DecQ38f29
-FKGleKxJNPuXMm6nu6NLEXA5URs1dawuXY0gOSztWK0kei53ksx2rUTpm3J1jBBu+cCHa/YZH+lI
-sGRRIuuFOAPdxZDbBrjZP6TY+T6SpByEBSxNfe+PbbmUAEdvc5WTEig6+pyJwC778Qsg11Vm4MpC
-91Puj106napLI5WSnDQu6D4bFu1ivbQR69hYJDQ2aBf/DY8fPiB8SxRM9+ANCsa8jupXY5G69NWW
-cSCFzjdNL/kRigq7tV+p9JksoVoW+vPdGHZjJEa87Lu2Mn/6pg5wtaHwTZDZyOQ+bdkld3cecFMl
-1+GYdXSvkfBlefNCuzQK/fJaOlv9lYsHBzdjPwaov1rinjYFR5Ci23rKYfsMnFble7oVguCxVQZB
-5UNVRDfBoT410gvdtChlvIciEioFj3iLGbjiWCafyL7dBYNkfjxSj2H8MiKJcbLGAMZAvRTkInYT
-v1nFZZypPWxFEEYFx9hMWuh1IGQBum9TnZISyEF3fp4muIRVf42BM+T2xGWZve0K+xcfQ8zImaID
-Qm0CEdWLCC2K9fZr46xysxYsjVO0zx+lq1YrQJPo3DZFBNe2JBK0OymhSOPAUJYQVjLWLxnQEd0c
-BaQYqmIpSWvafjX1vyfO032oeSsBdSOeGczkUGsMKdKaAp2AEcZFckllVApOBM6ySE3Z86GiNYn1
-jI8vlRTfWf34mJDyg/lAu7yMidkmiVOKnL6E05B2G0ScijmVgGyCR2Ds1pAa9YsiaHQ67zR7jXEu
-99O7z7PddQR5IaWZsYhozI0P+b5JUCZPJz/TwIwE+Hib25rYgj0TBWMfDwmcEDPatPHpXlw3gSQw
-2wsFlKmWP7SKC8y2Oe5qTUrjsPACI50bflbWPiMLApS5S+2QgeqBkjKKAB/1DT3Dk1lsaddNc/Oc
-1Q8bpIuVNV/dwTJZes2BYmk714JF+qXewHU9orbnSvqdatlyliNGae/JSxAOMNUESsJit7ZqHqRf
-OU2eBrR/EdwARyBr1vRuoo5kFXiPcxVZ48eEFeJAoNbSKBMhs//2y11VqbiKLQk2cFOPQTLeHXbV
-2hfP0j0sLb67Jp1xAiPWmYob5FzPmIB1clWLVS9Vcjc+u8KOD2hsqaMbcZK7VWjnvOCxlitF9njC
-xO5zC7Rl9Mq6LLfMLGk48rISixBS691qiEtYIiKJLLQShoHxVvVW2lV5Qccfn+ghiyy6Osn/OdFU
-AXO61eEZh/Occb1xpMmntzoyUq3VRoAKTO2La6JQWD9H3Hcs0E3zMgMTU1Ipvec7QBE49fEYm582
-Sw3eShZSOowdlsTmiCkW+7xVJehkyHglpd4b/JaQilazcuHasJdBiCZQDmjSgEmvyx5Nt+DrXgNE
-AlpKVH5v/hOuEng+MO3wQCto6Da/IZKJYy5m7dGpDCrAq3UoAPL5UvTzR1z8K+tKwd8B4PzpnVay
-lTO2HAA9TCcnTUqldq2cled9OxxvxcG47A5fbBopAkt4969yHMlBfYC1K3H8PJV9+CIhCr2351Xt
-WKXzQCZbF/gZ+l+xQYmah8uH6q0nbbaZwGq0nnkfCMYtEQhFY2My7YUw80vkTzxDWGQY305aGzDZ
-zHYMRDbYeAVqlcTybZYZ2BmpSjjxnaxsGA3PyVomvKdJKvjbNNmPcLltrYp9BK+agteT4C4PIdhD
-fVf9+zrmqs3VEEwsYvu3x0IwCsKxgLlTNsdTxVTwPtQv88cMav8PAxIDk6UXZXYO+EYdytdhDrL2
-Ohc5RIFa7HVk0XDnbGWVTxXtTFbVNxx0SGZFVUklGN83vfLRNZi3rKoqoC+aazg5Hzi9xNgiJ13m
-m0As5+jBepyoLaW/Sg/v02Mo3jl27Jw/MrJIpFe0BY9fRTWqqD65yxApbEa9y3ytr6OxFozO5j4U
-oPmojIAnzG37m6jlrEdFE2Clg3CFLRt2mPaqBqMzTz5udQI7cXwf5tNRflWI/qCINmdkTyGzJvO2
-eFITh1a2xjqUUZ/48eaorAelvoDIfMngpvRAgFZJ57EIa+sId9MlX6lysFxmaPqlAp/XWtTi328D
-A3t81Vj7Rb1GrfLKdhNQXvGT3cKArlg22a6okqhZBoZ7JcXcwFm4OrXEY3VW+moPiEcsUVHCaToX
-cipQID4pK0F46g62+Ou/h+C0evKCa/c2f9mALbcmNCaO53k2SREy/PsnuF3Z22dcUdYbwB57XOpZ
-ChFsJU+iidnS4bkszfPnB4SjperCo1b04vw0FWfWEYJU0CkKAvqsIqjpQV6B3fP/Yor5rrlFNn9m
-IQAwFj6oQe3/gibMYNGH+1cEbTsW/NBG4HoDROcW3L8tw1DuAV88o5rej69+QXeqVG6Cy7uSG5yi
-QZn4q/WzQuGsrb2x79FcUoQc5/fYWBVDh63Elgp6Er/2KBn7Bto0Vow17IcXTBe3KtovBMU0H5Cc
-VNdu0fJYNIMAvpz9j70qyxRIHXFX+Dsq+BUyEQ3Q3TgIQ11OZc1mie2ek0DJ263ZXXAxcP6EYNCo
-ifHDgojqRKrF0+drumUHLCQaOXEluFbGiejfW+BZPLh3B7zfm+LPPMAZTYpnE8bKOiLrfc8MUvce
-QjLHa+Z4bucvFMfdFh1qMITTbo10N0O2L9wGrnZ4bKJJgdPXhzt3joggDCfzXZUkkh7BZa09Eex8
-1sXAG5xFNhHVyYZ+ZZo+zHnW5JRr/6FBL5SxraubuV474kIp94Pxd8KhkJfPjA1ZWPFZv/43vud3
-U/QPgMQkUnJHt1l00fKIBVFPGIVZjdr9PPnynDwkSxwy9KkMzPyNMcMPtYKnfHkZMxz2l46qDlYx
-vYk2LORbubXIYiO03nEPi8LnfN8sFhLjm7DI/NIWRjenI0L5kVV8BhcO6uybp+AXoTctQ9/gBgTI
-0NIJ+JaoB223Afm3jjA91zzDEFoaicchs/r/gFt/ro/A+svfR6c2sj/SIKQFTAjzJK9v0al097uh
-W1AHZg6D/KjQTafegoSbfE+BCt7ogs5D88YcrZ05eCcCdbZuZ4jNotbdOB2uWTgS7H51YOAd1qbm
-IIiHCbr/T8JwFUBNncpQlUkIVqU+MpGpmXvpL4qq+DCQxrbxA1zNFO4iuZlX5VbzmnniuKlQBDO4
-b4pRl3mhOHI6BIhiQK2Lyc9xCJaECkQmJpicwVknBLXwYtyUnN0rOYvBVSxw1hD0aSZSwOYOI4U/
-9RAg2kJRpq8TSmIoOutT6a76/OQojAoQ/KDOUdL2MSonqQ==
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch_main.sv
deleted file mode 100644
index eb8d108a8e2aaa07f452128154d6a849bd50c744..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch_main.sv
+++ /dev/null
@@ -1,150 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92"
-`pragma protect data_method= "aes128-cbc"
-`pragma protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
-`pragma protect key_block encoding= (enctype="base64", line_length= 76, bytes= 256)
-FkX/fT/ZwYeDWgdrNG6eofDPJtdJ5pkusDAPeW41WeQw3JyeCuROk+psxRrM67OdgtDstGocMLq4
-lBlUpeJhhihRd+BxkP0j2WFdL17NA/vq0iv4FSfj3TC1+YifoOffnj0XMikqlc1b/pA3JI/mT8lN
-sgUyqj4OKwgM+jbc8LkEEeMakNp3haCJI0WhEsdAbwMNv5oVmiWawYgIVbMpPnx+EkkNN6BlxZxY
-/N6J5M1EWy8khY6drdI8AOYl0wQOnamXMPb3Oiby0o/DWTGD0POLEM9jtrlM8unpmOX3u/EX1xx3
-9aR1342lWKhteRa/ujbw1BLO6ndKI20C1HZ5Hw==
-`pragma protect data_keyowner= "altera", data_keyname= "altera"
-`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 6400)
-jXCkQsOvftzYsazC3lpdOMHOp6ZrD34+hE8UqNnSOkioIowFUJm5HHaRS0JNuDQKtgvBV6mOxoHJ
-68pZqsYEALVSP4gmzlgeu3GLkSTuNx9rJWk/LQOnLWDE+8FqHjdQYjtCINHTNb8OO5w1DdD+XNhv
-Gjk7Z3cCa05Q6cQonwyBX9Qu1FBwmf2AmmWYLaLotKnGJ20T8htRDJWMJhzAmaxEH/skI5FEZNO9
-8KZHwbLx2/dn2d2HPZVN5NI0EtYTURey2IcLfoWlOQrvAcGKWhcmx/YJtcmefXtmL5JJFdrA6USG
-OkTxBXewNrZnkuuWG7/WT2bDYO6K4y1swtRMYzmdTE+S0qe5EDLQ4QAsNaA3ptplSL5KKyLzOWQi
-KitvBU01LXq29WRKrMtRWX3ZzdWZSQbUlBDfv07d2d4N7SNDaNhks/nKrCOiyN6YkUaS0GJ2p9Iq
-VTmnjLSd0EW8f34/2rT7AwUSUBltvseFSoGz7P3ZY5XIQoLsvUy2rfMcUdl/it2KWr+JN+vXNRgT
-VcSb/zKijkMpu2OHQxNob/Azp1qB0EPX7eOjwOkRVo8ay8Zf9rSBr37RgzurDWzgn6TfYwJEXrqj
-3GlzAoje2+jHWLJ9RD2qxDskHZGUXfDna5EOGHRE7gn4+27LpoCSraT0pbJRR3q9Syo07yi2raWd
-Y5baTAb8PPbpM0r0ErJC0cSw3B7PC17BFyjzH6yrj9LY4EZ6NzjxYh4sgxGhHUCKKDNIqXIaydFN
-5u4CCIUzM+C7y2JwnyzIH8gVCmDBwr4crfWeFTSz+JX+b0GTWDZyTtgxNYbMZqU9yL/INLg+ixEc
-Xk02AdV57uaNi0l7AgpEjLVxHzJ9Y/MNlUQUoaUpB8lnDFAvRf0R/OwVsQQ9AZhUh3ssblOHFGgr
-Ck/dpYwkYsJk5TACDOvrnkOsF3wkk7HCiYp/S5ObuhUmSVAbycnNapbTThEgFAgiZlVyJaOnUltk
-4qeVQXN3evGS3u8RHAMKJd585+OkezjYOARq2swjjyNAv6R9g42vth3MbzFQb9kJ3T4FFiE0neTB
-gFNAFYt3ejTy3/AW6pmKatlpkmJUX3n3eXERU0Z9prKAaRiw1oMiAyXibrTXSU9hm0XgqcOpDoP5
-ldbyZ0OoMIz0mWTQcl4CmPaakbu/F31X9y1HZb2uNT4uueFMLLDCwlwoe6pT4cb0Bhps7+dhtFHF
-lqK5N0kOT5YQ1N/dWwbWTenoXzVH6z5wJ233QFObTghm26kvF2q/Got4T+DvS1GoY9gCBFP71gnO
-ISg4z2JWFyzya2vItH2OaK3uLVYauK1ZjkxbIYuYL2FdI27w0DLBI+gaH5/5YglO5NaW/G4KR63v
-a8q4lRqzO6C2/B4VNE+Jp9gHu8kd48Lo6PrSRxGs0/QnzZDOpsrWU9gKRlfvW6Z/o8R9uHHuxeZI
-tPGp0c3NI/Ju8dm77zQ9OPPaUEtMwRLNmC96f84MOYcn7XO276rnJX4uWXB6rL/ZBMrwi1MV9PmT
-ORDLn0XbgFB9cgYmZoiFjHOCr+sGF1HvvBAzt1oZEZ+PlI+YQfol4JTWxTj0AefNlU/XTEJoN6If
-LSsHNW46EB+AoAi6Ohj+KqtSs2nL4CL97XQGWtZKGJo06T2QMB614XLRZSvzhe0kkTXicNqiazVS
-4/YTTxW+/xN0iPolq4xnjrU3m14V+f6QqmQGydhWttTaxWafMjuqmEZK7TGJlS7lGxErtUxrSi5z
-5lKYxxFa0QL7xgBCXFhq1iT8IMiiI1NozmF9pleXTdfUNZgJG6ZlzViYTlEZauz4ZI9mPTdrvBa2
-vBwRdAAB+Yt/Mb+Y7yZPp6v/bpmmWGE+xbFsLMErNGF5p54nK5ZywhVpXmAyskwq9Xsb6W1fPgV8
-l3IskKAJY6BRaIiHpf8H7HRf2VOrueZN0CLyh/+tbqHDNuvoouxCtmlX2DIVcqIJzggFrm+A/KdA
-7YKioCmBvKCNBab39lYvliSVh0RyCKhDC6rPvnHm2j4F60UNHRHKSp3rBfOmYvmhnk+GMkmvllaB
-YtagcHg4hOZz+3CcyJz34TMvBsT+hFmyfZr9xPHNH0UQcQrKsD4H+5D2uZt6R8N8JxmmYGKPGv5v
-5LWfIe/fxzSN3AcnTWaNmBQjzSMKym6/AEZo3JCc5Yv5ye364P2Dqt6NVKlMtGkDjXyb5TvxMZGN
-prt3kvOIXG+Mi81fA9/LcppXMciNfh2Z+xkrAzt8ZcjPRT2/A7uggOm+GkIwlPbb+05yrTwSNp3H
-IovS/ziHgYMQY+QrX2jTfO+7hzhgAybghnpHqBiTDedIiDjNm9BxW1Ak7lLqGyfABYGXbq7xvHBe
-UAoYBEnP4FR0yZZ6uWbvbGmjH8Ryoet7OvHJxG9IIMZ5uxPHIu+7Fxdj6BDG0RRIr+yf9bW5Uppt
-xewxvi3Spdcl5eLbuzBrqmQ4tyv0726RlWvPJIJniYlg12OVUKI89dft71x6DGdXcbjNz6JCMnir
-J3YCI68ANq0ZgO3/OneNyffAihSNHJwwp3iDDZsT52cr5TlcNF+8PIhSPzU2XGR3+a11gTepWDY+
-f3PfAMURzCCongqZmTBobImpgfWe8gXkkghCDG3gNb/2lEL0Mutxbi8JiLFxNXtMYSdGm0CQIqfc
-5pxSyjPtFM3uI66gC6VJgB4DwTBPGiC9m67ZACn5gM9KhEKS0oMUTPXro02TQnoAtsdHxmjE+nK0
-9gDdH1M6jEQwSKmNDKaGLzztNPGikdcsuxuSEAD5nBC+RVugwCS6tC3vQQg+IFrpsDgJKZDh7duf
-6zWWjsFKhR1ZdAlbiNo+k66ODHaag2Yh77eZxq+8q7hv2byXa34Ras8BNA02E4HfaMG6haMbix+p
-Z6vxuvIXsxFxfHpUvonWieSPA4O5RpVv9xGh5fcmn/J7L8CNB28m2Ea0n7QioX5MU5T84kK8eoep
-UliDGdKtFVvp0tGR8b2OPdg+vZR9dIR6xDjYbmPOwiRK0cHnK5emqLWw1mt5YI+7IXgPRofIf0lA
-NYFakNVj59WkcmxbMdn8OqKyZHW/mrr77t3VtV8ZH22qWCNjCvxefIe8K1lFF9SDQvA8rl5FLCSp
-UMOR2qz5ZYiRm8mGFwRE+2Fce2UMrAM5CUHbV87G0GXxO8mHAzwIvqkQ9W3N7i5zFANAb1HZz92v
-zujIZk578BJLQzclYO6BaBVdZE4gbsHgjjXX4vBJGSmLyFL992oRqih4y0/wdPqc4Z/+N9DVbHpR
-GJ6cRSS4y/WGCINn2wdmScYSbPy3b8zTcc4p4iAiLRAXNLkaeJaxvtQNRdvPiRbkHqGIid166EQU
-tUySfAcLhgsN4ARTYJmAzUe7IjkcJgwg9KxO+3xIviZlvkNLoA6TFrgdCDon5UlGJa4KxP+jU1WK
-PYL4ffvSSZ16YDNlj77hpckVrmJ1x2nEII8v9O0H2QZqR+5ul53MVkUntMCdlI1jYGiizAOQgfug
-FbJCwoDVu4M/FBsQR5s1jZj2xgRykvHdYUekFizpKYZBi5++8htppuXxDndyxeaDxMPoFc48wtDA
-BKtew0L0IODErTtGaTcN5/sBS4CeWNjxDk35uqORhzYg6WkI27v7F/2Ok/SJGwWgl45sCL2C7h5Q
-9tAnMcRMLp8wAAL98icIWd+GObSVPeTp3McyBvQMj4aj5VeSKxuE++RRv2jbf4dT2YHK2iSdS/MJ
-qwz7VQajJSEF1siVUIjpknfiAzy1AdAncuhAgTbo8ypqw4kz/K6uEBZPeaGY2gt160D0UY/C1OeD
-LWoMPpfX5btRn7wLZpBOpaVWawx6izPSXqJw1SxHPBAtBVNdM+J59Qt/IAwC7sGhk3ajTW4jFWcL
-9x54fXQ6cy9Ke3O4CkwOxHQOg2eZyShxeCwz35ros4KkJNmlso1lFw+XklR9HDvFUolOqjFY2y+4
-bk9Etyc9kkZT7+vCNvoKoHnRar1OVoq23KhMrPJtXhE+pqwghXW6opXaQUTHlNpjlUSfqMrZhM/j
-0NOvDvnoE3568pbVIPtjwUjB6DL5irWBDVrO50w/bKYwJ5DnMgvyRngAKVp6x5usfgNN5LuYss74
-uZHVrPXVHNQcI7TWs5CaC4DHSHzRDSRwWD0bNS8bq7EpQSpgwEyxhNzAPG0r+RYENpRGgUC99aId
-GmoxGpqiC/dHIoPH0qgQvSBWaMOi6EGkJGdm6yGXUSXNrDg+BTY1WKz84dIWPJC2eTMkpK0c6AJ7
-p+3XPJhOHGz/JOxRTdS4Oc6WHNWz7l/WrO4mVHQRxPPwXY5Qj8pnk8w2uXGpNC5v3dok9Ybw8gcT
-LrMP68qpirnopjMGI/8IZpfUqj3gLDQT/76CWrYIqhOiBq+Z/huldKNX/oQFC8W04oXLEbD+zUJe
-fte8n41JjlzuJLL22IhqTEVo5Bd4aYjAOJuVXKnPU6FtWe5FVf5um0/rypLD6jBJL3V2m+jApdas
-murycAgHdzmujiyavEBlPDnAJwPzuV1ZXmijL8TuGfm7kn/FL2v6x/j2MzgtoBSN4dGBqHsKCPAi
-sgBPzBkgwY4S7abNLCJZF1ivoN3vW60+zAeBCxg+R14Wqv1MncpRM5ZW7/ITXU3OU/MQ36LINgDt
-69wS8YR6eUXWE/A2WPX6iHhUcvRQnzQ61K9oQ5rHlpvU9Wd7rP7SKoEzbT9uTrpAklEhS19XLFMQ
-fJlhlM8bogqgKILsrE+K7v0CLXXNnJwjZ802UIu4X/cvqvD3R1R07inBSwcySeQffWxgqINLs5sP
-epxIjgAtbaU/zRs4WKqkZ2tQN67nuQGC80HqRLaSavaTSzfXRhM0tClGPuYXBHjWEk/sfFpM8nIG
-VVaUfxvPyIunWIBQx198f7xG73rtck+rO9RQSNSKNyRSejvNNFx1Py23GAk5EKJKl322dTgaFCcN
-rJEEYy0RJC1e+C8nI6tlXjo0Z4gVko0N9ADAeJHqRPoaeko+vMvyqSc4GNHgBPZFTXgwC9CSg4Te
-WUa19HDLR7f3/1W55PTHRXiqk3e3ZQCF8/JxdobewA54NACx5opFn8omQk9pgHoighT6wFFbsAdh
-0e0gukacS4nRU55rcS1/TwofCbnGT55EDSeI3iFpsbgi0v6pYXr/DsUomKJ/ZSPiLAQ/sWeJV44s
-Yi+vVwLw2obc/2D3wIk5m6ejckbbwlxPw8oCCVaK2CLrSJl+fbMrPr1S8j+hr+PCPWZLlzQ6WayZ
-EUHEwqaQLxZeIsW86wvxFnkhg1jGYLYnV2YeUo3S79LSrXKZF134g7kvpXuz5wok47eJDpVtRS29
-IoZdQ52vQczvqsGQfe6+dsDt95ydAseRZJ1Jt1MZDvziuzOkvORbgLjpKV2j7Jt8ZJKS0D4x5B4U
-qT9suP9wmvMRNhrBGY/NTJK6rwidfWSjBeTQQ9klT2gYIeT1h63UFWglNeO5+Qp6uJEK1w1DFw4m
-NpNbUI6ppRU9iSUZIEZeT4hYxHYc9ZpsTt3IELTWP79hJ7X0Nx9H04wTnkocBl/KW6O8i2TuBFvr
-xkwJBbUk5TLJg8aHCwFVjXLN0JZas7RuJBtzKCEdpOIP7XycMlOCqI+wQmfBfQvNngOR/Vr0kU9w
-KX0L02RcW3SDbxaZnIUVx35xZ0kmEaeIfeIIN7cXS71N4udi//Za86SKyUN0Ga8W6oyvl6dFxc1I
-Aarusptkj866TZHmYLlKmnM9uN+xN1Ljkl6FQP+thtrsCDqHIsm68bDZOuf7kdg4Sb7y/Zcs+fv8
-+gV6jat54cqLL/R8dhWc/Gy9pH6zptRjZrHiQjeaZ4CY0gALDMAoWuzSYlHtLF6kBuO2CYaf2Iag
-9YBaAXOBUh0Etmfu5sRFyBVLp0barkWJWpHh01GcUWvKwBs8XxXX0NaE2TDBQ89kq9nFeGsr2olM
-UHu4KbAILcdlmhBM3+jjVzWuxDfr7Wk4AwF2noS/dFrMwaqOVSnf1tf8UYm9XDdM55jY9Hh+6dI6
-jEvjmaAydt6hl1ePEFcEzlwnO23B/C4zC6fXZLEfBGW+rMgpxeJKWZ3U5aDsB1MgaVvWQYxuL128
-nM6hj0+/IaIlm/Rzlp+7ShTD0tPzPQfdne2Z1YxjfD45F/CZMuFyLTqA9UEI7Za7st8IrlaxrURl
-XQ0FEbwKostv7vo0VkPAQzDIweXeI7A08fBxDcJsuQsru0szcY7YmZJm8am4tlZzdd37oVwDg4OP
-/OFS63X8g6RYYsG49DZ56x7ySP4AxrPVIPSGNO2THQPqaXnJbeHW7MMgD3RHWOiRGeau1noLlBXj
-sPivrhWK1i+b+K4JnHmluLccmFKSt0ALOLbKL9+eQHQVYMqfQeQA8cl+jrLBPbGK60WWwa13/UZ8
-w2o+Q0XzWXfi5fkAjRnN2SpsmOOVO+roT8RaEEFOLZuKth8+q2wtTbiPZq3fi4x0xPQHv+/1TT5S
-UfMFqXBJTptjZ79shr7bls+2jjwLtO/WA/3dyDnUqXhHns6OXi9VwpAjICdcQ8oltzq3k2Qy61ln
-+wd+0H2s5VfRxnHc2ioF7oL7j2nzvj+RcvMqxMJF9k5ZlBvWtSeV+cUcGKs4Dm7u2GotWboypwfx
-uypquvuKcfF2ZkybXVCcBqIxuioVzSOkNg+QF/IBdoIkrP91vP5vImepUvpdFn2uOKKBTw9CcsIt
-76Kpdxwy6cRYharJevJZH4gFEI4JScvi37OwyQjH7Tx9CbpubwLh/OdMGELeA/G5ndOCjHYlkR4D
-YAJF6j7atb8UE2vP7BgyViv7xYrNp5NI5sm07PBkRnHwxHPjJzf6fzIM5qxCpKCtsC8W/TLJz1A4
-BKVb5fE+ExiV42/K/2+pEJSI6d+LMeBisSV0bMtuiKh6M4bTNp5Gtj6pqA/5mCNqg+4BLf4xgFkb
-L6UAv39k37tsW1YHQryU3L5hAuvKU/Z50uojZn5wTl/dc1g5iPdS0RgUWYpmxFyAhNiMj6uFTCvv
-z0Ki/kgS9vhqqcOMfLjAoLT7hUbruEZqxba3mLhHpljKjX6yBGUXAOVyRod825cEklgp1+kMQ6IS
-L7piuVYVmUNRb+91en6Z0yMwrtLZ8tVXCp3CvR7t/TVLpxWQq1VxPz2sVXkRVvjomapOZpikPZ87
-HoBcMPa2zLJB1YFj3R9b9X1V6L0it/oN6dSju6EKMuzCbNf0sS0ZYjO4KW1m0bNYqFAp1RFAVNGa
-4AUZG1P82+PfVRzSFsYG+OZvSo2FrQqzPJQbS+VLUMc2ldY1nU9lsO0VIcR/sT0QpmBea74j1LwF
-6jYwYM0movo6kYK7q/0G3CqVcBPZzb4ZXWtKA1iqjeZdKuuF2Ou+oXAnJPA2OK0H1JG5KyC312FE
-542iLsAJXWJ0QZmeHrdoBNd2aGuJtGrUyvJ49hvqVdRWDEcDUInV1r9MpUUWpkjPPmuxefHpF7+7
-BUJu3au1OC0yXOz2ZUtTXgiJPrDXyQPgQJidxbF5f9i+JHqj+EjH9lagXNXnH+dQPOMCGWasPwZa
-4cY15QxjfAya4p6iBp8er+6uwjUJtA3bd5iVqCoVZKgdtvHH5iL7d7v0uRKg/c5SWAcEamu04rgL
-TjdUI+BqpThWmrmmfgfiejdaednkLX+rSp3n4onYSTYC/gwfOMO1Ob0CMD1amZLhI1NEdo92V3ol
-ITugytQARi+1lT6ymF+ohTX+P6G7GOQUYzueDOGQUgwDsN1pURgqvWrA8S6ltr9EprDVKPAZ/X3E
-1zh37poW36JjnWvohJcg8K4dED3+T/1l6kK/RH69xmzqkWOzTTa1xutRx3ZNHOGXtEuSHtLcO/++
-OfctJNArUDs3DbswIpLmBqKuXD9OdMQZFUcEWaZGYnKwUsG2zZOgaI+fq2oZUOE0n5KkxdF/CzX3
-znJR69geayet7S9ajt+NGCIQ/1SEd8Y8p9+vgg+zsjxoSNe9sIHPQU+bcvghf2d6XIwPffLm1lO2
-+8R+MFrNjojA7ZAYSvPGv+vBRIlKAlylq2BaAl6eH8fAJib5gg95qc9knE1e7hErQueipsT/Hzn5
-slucHCYdvCYmVrU7jQvG1T+tJXVw992v+8LZHpQ/dahFT33En6YH+Njri7BUKqspoM6Nm9dUdkWp
-wAkYD3jPvcUPOvkP8jmVe2AKjgeesKMrpEYHCeCmlBNV6J2rUS6UwOzAJVc56T3hNYHX5xJy7JXF
-Z7TUKHfBqCcmUhQSuA/w018P2GRkqRgnT06qjr8ug9jWBur2Vz55nkwl2AbNfHNg+Jr18UceFpXu
-A+Upm5Qro3Tfr6ulmpq0WUXoFeuP8XNZJdHkGI+ITYaJWUOmtTUXEds3+FtEocep3xq6LkfnUiR9
-q3FLTkd5v/N2nS+z4gTfAcQ1nPgM5XjraR+K+nRNNldT//Gk8zF4a+uJQ8/0HmjMPoOj9cACgobk
-JrZPOe2AgOSie6JCds3rlmUF/XlrRgxBXvqJpdvrqUeft58UXK7sAMgU1n6jERo6Jafwyx1VdmZc
-FqEy155HRzyYZ/Hbb1LeOg==
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_dlycntr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_dlycntr.sv
deleted file mode 100644
index 44ea6d03b4d018b141d2e1571079ff892091958a..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_dlycntr.sv
+++ /dev/null
@@ -1,76 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92"
-`pragma protect data_method= "aes128-cbc"
-`pragma protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
-`pragma protect key_block encoding= (enctype="base64", line_length= 76, bytes= 256)
-lrJCkygbtA47Fd3D3MCc3Ae+4bGWBQqUzxwmt4JVqCwhY9e3hjCW9eOYMNYFuDZPdJnUxwjKohCo
-q/ZX1hDsdonF5Zy0igrcaFVGRme548iIKtJpcO1Ev3jyJsziko1+taIj84LLsYxSA8sS7DCoMGVZ
-0mTu1kXz0iJkYG9QrC7bho0aDNerjKADF/1SSIXfeXPyPSdYlcYGGY43KYqjX21Li9CjLrK/b4mI
-Ls+HEL8YtLJI2SYIANySRV2ja98RU3iY9KmBj52Lp40jAfrz6h3l2vMsNVic14P+ViaZxpKXz1aG
-G9rspyPeoxQjQSIeXaV4iJdlGU7456O1hJpWIg==
-`pragma protect data_keyowner= "altera", data_keyname= "altera"
-`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 2192)
-K/DCkPWQDQlFkAnfyYlaQvxIY/1zKWHi6DCYLFG+JfkDXqQ+i3+dGg09+PNB6bEbIvyi3kHzqN9N
-/nQonTHU+e2bP0tGW88ZovS0bUlUC0SeHBDfRm0zT/L9xkmUJ5WRfNrLDf/3WmU04f2sM3743DGO
-0vwd/4M+6RDSia/mbDCaQVPhiRStO4UUMvwSwZC7W8lmEL1lFq7XfQFRftZn1Fo0FiZkOcqYdyej
-j+6F0HaepDCDtKkWzoomYlOB8XHAIovdGEj1SUenRF/k+ak1nYN8w2tzbM9ErEhsJjI6WaV5qmOS
-RwKYkLYMn1bDPoatGSG+QJT0EnWWEz1SLfXSEOWatjsZCz+eexClx/AdcM+Nl+3FqU2j0h542Kpi
-Ha8e1jU4BupXG3aUyHMDau3C3MzM7C3JRYKVTq0AYiY1oktiNwnBqPLFqd0of3by1PtHr8WTYbOi
-xodVIQ+KQ9+5ah6KhL2pR0KAceZzmFUJvso+rOIo/oENEPt68FRWJNUwvjNBwXBdAxjzpNR5O0Pe
-cFnk6TdNr4lZY38IValis7MbG8YqdV8tPJViE/L2pDbWsKZMnby8gBeqDgUIjs6FWY9SYFu1qD2T
-YAoFTFdqvX6wNXflNKIBFH6dhRt7f0rnYRK+uIQsmWldtRWwWzNG14/MrcLRoQjIub1s4hZKNNOi
-N+Ez3RRQclFAGbvxuFwtY6udo5I7kzai2jlTfGhKuBK+uenXLsIg+dSn9RQ6tS40wE8S8TsD6qQk
-A5Xvx37RYsWdJsUWPkjb4V2C4/UnNzeVLeyp7jhktLDuleIncZwAoUrTFCJK2JIrDisOXPKWNeFU
-utzpen5cPE2TCVgm5H0FwgA9s8TiQFZhVWyEwy3LYOYVwkxL8CsAR2AYsJTrrhggnr9r4Kw+ODAg
-chslXesgfUEsI1KSABBUWk/8B1jq8JiyXN3eyrDL1CGBZPDbKyo+cqTNVWaWYKMQX1l5Gugm5b1G
-Zgs0rbgc+B0Y72KakTwgpyqCWZkyBRsrmEKz9Dxhj4l0V+A5d8nsF7hZ4K4eIAiGUZVCLzjhGBYd
-L0WwsRFhvtBIBpdTZzphXw9mHESSGIAMzwDIcJHpYse7Qam9fnGJ/tGJcp/gTfkWmtAaQOpwdMvo
-mYBn1cyd1x1anLe+flZMq97W18xbym04mLMetMJ1DbLFoXtxjllsbIpJ/XNfrK7pWUg8SLCl5SUC
-MdefCRLg00IyBOY2daQXr2HMCWG3VBqa0MHPvmzrzOdK/dW2pCRCbbZv/OjnGuqgZ4EV//z564Qd
-C3LwZ91OXy1rZJMP+AE2uk5VvlIKftcZmmzUeJ4Okw+aJ+SpH6jikKyKLImYYYyomGFXlZiZ6ZDX
-9pwkXa+NJZGoIZz3Xupx8uM6e7ANyiewifEGmmMh1ijyDBABXWX3mGttHzepgJtGGo51kJkqQc6i
-HkN7bT46jAhv3xtllj0vOUnYqzxp1HxhvmDYkS4QnKBZKuGqyph7ldK0Pa4xX1uDnysQYGSUw0ym
-PtNt2kCMOixttGSV9DEoKFLanx7TICsMeI+ZkYFyv7sJtxBDvEWg85ih3nO46xiqbclf5NnM4XdJ
-/wBF8s+2vCeXfON2/Z9aydcz+RucYCVIlujPr4+85OSj1m5G1dDNe4gRQJDdM6uptHfHOF1c1fY4
-mH5fJh81gNmUjJY1TZPMeRqdaimF3r5yoflUmG9RpPc0kTFKlMvooEtMYuJBBjo6c3NVmKIBhVfG
-PEDbNxAUS/Rh25VZ2p/OEG1AeSfTCeecQMrL5nk/JWEMxrMXjFIuWocoOw4umfUHzhWxxvXj2jBl
-4rHnvs4PKSonuMahtp2xz/VVeQoiAYqT/CQfKHzfz4+helOUVTpaGEz2hJb+mH53qBHuKhaJPC4X
-3nQD1o7sBoaSJIWRfN1dKAb4QFoOFgC3wzPMJBwNNPhwpLtVqB0dxZfJn0S7gigho0dPmrH5Hhds
-BtrnO4hETcRqjEW9X6BOhYkPDTKLnntbhCRHw22u5B8vBM8sDcpVBuCCR7wg5AbHkstMcdA/i8VM
-V2FYPHcUwO5zFmrANA/vDNdZDr9fhpl7BcY24LfnC8xs1k1ifjvWSjsWcPQadBPvbSkgt/17land
-QYWL4YjCDjwSkhanwuv1X2PsEyZaq/AgpFwFS8QQuKHaz+Ghzl4LdugFmH/qgaUYRtTn47d9A7ao
-nSxEYuyu9jHjA4wdivE0SZtJi/0YXsk/81xd/6cLl+pq7pX1CqZHG7RKb4WVMUVy32tYu/fVm3g9
-PgMW7+fwEOgQ1tVV78epH2DU8G292cXhe7fKsFnocea3+E29QRcfMaUERbMVQJX9C+I0IE3KXGE6
-QiCbCk+U3eAamZLVFplLyIAYBOyYL6HwmdtmKRkLytP4eVCviowwvYPN0qZ5olh4mN+1I7xthR0H
-Id+eqOEF4xfDF9ZNGGjlTHuemZ/2IkAJnEq9IgeTLp5arw2WYtb/xJH7VXtk7Hxb44/NPT/W38CP
-DiJGb/kqrvLEDPiEldw59uD5JJotsr3JPPse23EcdaI6Wu9JVQNTBwanZQ3kbwdmRSiWqLItaxCs
-VErwA76hrItapq+15AborfzSqit6jM6D8y+/NkX+GrZVBNgZnU3t8CbyLFLrOB0u6Kl3vQKPoef/
-d6sDTO0Mp0n2obpAROHpe6+Mzeu3Ptf0AETBwOeaeY1odaB6SciY0e3F7etNyH2PhfpuPqUoh59J
-0fQ7XNOPSG5OjSd1m4QDip8YzJFrLLk4OCfLD6vvCPn8dtwbarkK1zQoT7/UU1CeQW/TQPTqQKps
-bYUjZRcqFh+wCGHVqHHbn7O6LXGIxXRAzE3zNyqwTc1RrG2gOjkUMyEWWqbgF+6vBoHjrRM72S0R
-4o8wBslxuf6oVKPfUk51rSTC3+n8fBgb+DI=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_main.sv
deleted file mode 100644
index 8a2dc67d1be569400fca03f1cbb11cd8098bdd7c..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_main.sv
+++ /dev/null
@@ -1,170 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92"
-`pragma protect data_method= "aes128-cbc"
-`pragma protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
-`pragma protect key_block encoding= (enctype="base64", line_length= 76, bytes= 256)
-KmmBA/OMHXG5rkt4ZaBP9sQ3xzE9AgKLZJwa88Syy7yNaYd8adSYVptrn4scJFUBNPqebGlFVms0
-yh52g89RKLRBLRQ8+SbOgR+Y5J9YR4OMv+VfSh92wEb1HYps98dcpWhjisrd1GdQAk+jmMoU19A3
-sRQ+u9odVNC2GvtfIwkMjcZw/F8Wrt/vvSylS8D8bzEe+kA8Fz9xUMAMbOV9xHPKOFK6qDYd0lri
-iqjjltzLmD9pDxXo2dmGkN/N0kbpkg109VLBUM7W2mvsbIhrRHhe7xp6yg6628aJCC2O3XRznlKq
-z5hqA7ZglmIOjxz5+NB32ptCA12DMgfywTYtpQ==
-`pragma protect data_keyowner= "altera", data_keyname= "altera"
-`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 7568)
-n8XoQ337ZsMvsJMtQA0PTldsiT8SVtA6YUgPPMnGY0LEp23ulZZGofTKAjwG5BotcGX9tjVNvpMQ
-7q/shwsOBoZ0IZVAUuZ58N5RS3kkdb1KDvGRvlkD/dzBozBbUQ8agiL26aCZb+ER8DuDu6RAgkei
-m8JeslK/+mYdWcLGjlGhLNVy/QqxaODL9huMa6xzGAZ2fx6rwk9+4A/e0VI8aYNnjd7H/vCzGWs4
-bCf/HMHNTMJLtiG8FILxHITUuXAW2KCTz20oy0h7u7h1zOZZgDvFo5Q5bfYGZXd0Xd0lnoDWO4ar
-qftwmSjXM9Nggf0D3qDwoP/6+BkJv/swhUfe5u+VoTCsqZKvedtLoU/y8XqP1NFl8GaW/hpV8WNm
-7lBMfXKA4vBj99XkmqHbXg/V0+5Tv6eCATXBGgJrpSUNni0B2ls5ZNZyGkz/pNejKJpuRmTZrCGU
-6b3v/tdylX3Hn6piDb9IBoeOcoSDFbV3illFlegRnw0LRV0FeoaWxIyLww8NV2WpjPrK83Ys4V/g
-w1WZtqwOUCZ5OE3I0Pe0illFdPsTeDh2lCxpANwk3igKwpDY4eEb0uWL5Qh6PZVc3XEZe7NWKWok
-UjphBRrCsXAo8r/SjnBAJPKy4OHJ1tpnp1ePg0OlV18ALVay9ZPsUOSdZlMpbhFzWnx6b3Q3fODM
-1rSRy9BXahUI4I4P9A9BHobJ39EB3fvDAA08sE5BOCN5ih7DbWaTczcdQINsz4J8GoKnvy6HchOu
-RMQnD9OnZUWIyfI4j6jQiXV0h9V1/4pV8p67uyp0FFDIr8aRiOQYf9qWFplsJQ9N/k3B1hQoMVc/
-CGxqHC1kocP/HX9+Qx3FkRYaKdssfbkjPmRi2k82ziydCGxlwXHRXBKQ8ZNXlgDvbYtUa3eeBzE5
-nCt7pFudayF8XB2CB+ubFXKoaXB/C7dMVsL5n5uBOAVhSAKI/pTactVTionBlPyv278wViFyaeDR
-2PCZlHJYLs04q2xwN3tt1Cv0h4TrJ8Lus8qETt9VcLBlGb+vdDhNbzX/C61pGrvPud0HxcX+p6PV
-6flWbdApBWZXRWqpQniZ51+s0cui1wAiuZKmoqxBbUappIU5hITvthNCh9wNCplBZFi7aWgM4+t9
-S2ly6cEsI9HC5orHQMymXFK0B72iXhP68/+LggLab4bmiIog0RjWTkEq+Uw6vtgUTcAFc6I981Bn
-QTtuI703c5xQuYXn/p3cPVMP4GOxLYzp8nLyZoMqnPDhmvhG32q30qck3pCMS7WcDYM/EEOR9aWG
-P5p0eIr27yKyCiAR+9AilyUzHFWGFpinrJBpksqlsgt+7SVJX70qmRqgg3h61On+pzq5/MA+BN06
-yBV5P7XKmhR5/cNDoiwib7bJNy2w24hLkMi7BIU2zfiCmQIUQYNfBD1QZ+dfU6GOxEIoEICpTEBd
-1GYl069VCLRg6HjsO9k7LJfBrrTs1CEH9PprX1YjkDFm/BaOLp8ELU46nehpwk1P52lXuo/+nMiw
-PcePVv4xxZykUWVBXPCgXn4SWdgKWPlGyHxByjwP26CPjuCd4CYMTJ61ok3RRUmKPvaALVwl2Dlo
-LfIU+nkhQ4vFW5E8aJgFsKa8NWIpSTTz3OjwxJQZzsiMW+6rRtHLSjxnpugYtDKVnh3RwU0Cik9s
-5PC9onq7p6xziEI2rl7CMwdLhDz5rwwbq7vARRgoukJublqT7PH5Qj4UWoD3QX2oDeLNqFXgFKq2
-aAE9+GEyP15OZJJDTYkzueiJ3lOZzP4o/r6yymHNnAzHgrZtWNGpVOv1joJ75iw/Zy1MnuaA00U/
-aqUFwdN2QLGgORYbqUFAg3V/rZwDtCxc1ma6gZ8X2UM0BzjFeQDSWOe3yns66kR3lY2ZN9/U4E1q
-Hqi/Na6cRFLEsXWat/5mkawUYXkXAq00fEU5tLhClrOYLSELxRIm1kRbp64irhBfN8LoGNXaVosw
-EnaiAo9y6GzIUqhrnddHF3gPjHsIY5pYxtX+9qyNejrpO0bGPreKKIWCzaGs3VqF9K7ot/EPClLn
-u4UhTRZCTryvbx7tUSJG1DAJf/tN9OI5L+O1TXh+LtUDw7JCNNbJOC1SKBJ4ek6UQf3X87IU9sLR
-oyvq0+oTzl+vfMlBuVgXVucmVZyX8ApVOWf+1VPRvyGbFUih1HBU155V6RCWz6KdTDBoMBDYZvEz
-DVZPGT+0W7SnrUZS25DihWnWc7WskFEyUXFMqh5q+CINZ5zg0Jyl47FAqHv0frEaVFlVwuf764ds
-1UoGohTYyTMPlQFKbp4Y4q66JWGYcanCSLRVvxggSN0fC0VpvlzaEuetbUF6JhmCBAnqXhhrptAX
-Brkmp5LHJK6mr+iIarcMzgFdUlak/H2qYfCFMaXDfaHQ900wKhMNF9F1S51aPNHYSlxpVsFGQ7gO
-QEUBoCsRrBJOOm7UHdqPuEitKAsG/VxympNeltRT6FCEUfL8mKi7WECO4G6eEfch3wDGia7MMfg3
-EaiiGZuOd05341vuF/JqaV7BqZD1dqhDup6LyaQ9nyYJ0cpN/XACzvy1Rm/jEpMShMt7+ExAWvjm
-+3eXcE/6zlBXmVLG4KTxPv90fisXcp8fxeXaEY0nXEBQGrxY5/90TPf1Noo2gls1htBxAbLH/dss
-WF7mhq2cRf7MmY/ZTkAyOoSTIkvfhskHdXtwaB5cm2gdYxKrhmtZ0F0ZkCiQ+75Jgjypqsqx3y1M
-M9xoMVzLqHjU6Hideftz2jPn35H55fCyDqzmOtrKHTHu/TrD4FTnCQzjnJuWQ2cHYFKgGdBdKLfr
-ARCCjhp4kVGfEXg6UPK38GxEPMy1rLcajs1p+0svT/inv7SxTzXAEELjwu1RU3r6xEfh71SypFer
-Gvu2iHxIUlzXfb76Mo3/fQKB4f8tDyDBdgqdmicC4bxMaDHNnetaQ1ubOUqZ51/0oJ4yZQWSMABX
-H7bqdiSAFILTi6u17JkS79GMUaY0YwV+rhPCfU7AnGSe7nOii5pw/IrK7iZAHPE2lHQv9f1V/tI5
-z7d+f8mHkR+rgsRfPYOFHT4gbYFeWranDOKUlQ11ntK1LLdYeb38lYA6EelB0HZopz6PJRo8oKIq
-Fc5XiAmUeEQBUUa+6Ku2Y9h+lKyJqZkoiJYSUIXJDtujabunPXE7RvsXwmgGbcONovr/NvonrH67
-a9ciKr0jwideYruEmA4bpvf5vqNhuyND2ati3PmhZfHdRT+AszFnD88OV5iPySAGCaBCfynSW/F3
-MiexLeBrahSNqabq0WL+V6M0ax+o9Yh2nU0l0sJJQce8+2PDIP/ePmnuoDv3zk5qPixS+K+4xJSm
-rMY5YRxYmFD0D1omGz4epRToC73gMZruU22E3Z7nQQnO9d1vByrMEDHcERPUrBHAO54K1MZW38In
-aTDS9Pq0sjp4HOPl53WCFlFyJxE+bH6aW4W4u9OGOQCl2xBR+IAdAbeH6sJpOkCSgnnBZUpUIa7Z
-71jvHtI+9jlvahQReL0obb52FpZhQZLa0zMcYTlWjek3HjDLSW8uk/7f2GpUUm40IZm44T01GLc5
-rB35eTQXRG7o43ukP53cplQnwpG3BabHcj6r03OF1OOV0yPZ/7dctTH9/PFgyn2Phj03VD8m0O6/
-l9pN/AMRkfDQpQ8EdbnTwS3g1cihU2t07LI74Bsr+gBxp8EcIAtDkWV+QyytmzHhz/OrCMPIb696
-qlEjlAm9nWJ7EhOscpbaGUpiwUWcUIdfVam9fMoEC+CIWghGeYoDNn0em6mBTyp8WkrlEiX0juH0
-YHBeNmnj6SX03/u12lae6+sX9rVRTvGYXQBSfzjH1ZPLBezI7DtgDF6ZKQ88OpY6lUfXPLnPUeRy
-2Q5gABV6+D++vXDhTe6qhyVnYRKRO0CAyBtGnZYY548SNC3FDFwtmB4iRAcE+N8m+Fk01/vzrgVT
-/v7kxIu/D2U819Yr/u2wCHPmxjzr+/iVe8YcQycQP6EJItptDe6Nl2JoLlvOrBo5RnfQMJMs1jRd
-SkNCw4d6C++0ldrKDD25kR5vpDoE2AEI/yo4gWibCW19tF3QOpj/wjabQYj4VBnPTC4ypE9ZEDxP
-Hi3qbybRB0nm1135lqpXSwUgVy2hvC3yTZzo7gIF0ptUdHAK2zucmnl1g4aF9FvOlPBac8A17FDU
-Mnhyw6P1Jb+TzeKl5GrkvuZg++Oaxo1VM3vYhkgbAg5iYUHyICuKrBTZj1oInWfv1Es1UtMMESz4
-AdNqbgqXde87RI/aqC7yb23xq2DpKAYzr+pI8eIHFuKCQSQvmw46Irtia/jDjwT6F+GbQmnQaY6X
-NMQObOhAU8m0oEkcfJ0TWARxyTzE+RJeAsmQQ12Ar9xxWgGcSzFkcyxraDnbFqcVp0wt99GCEuvJ
-daBjdtY6HPE60jLHJJaoOmxFgtNSLRRG+uGfLfmjdNv8jWC7xj8mc6L9C60JSgvzfWU5A74Av+Z+
-VECPr8w7/juPEb1XKSpypEiz8wgpPYYXhNhYh1o857AV3ADXOBrsRz+EvPXMJfJbCiQFTnZCE1I3
-qtbk00d0/k2FPyek04Kl0eQ474Fhh3SG4ncSskEq7fL9CaBT+mAAOiLsp5S465Oy5h4sP/Q9B3UV
-HRVdApe2JbhQMDCBk5a0CG+pL6Gy209MivzBUvXnerQxacwjTNLTZhn9rEqb1czyAKDdCtbHUAiS
-0P27YjI/btXsMpTM5m98d1sl06TH62+4bnhVDJVO4MiPDfc1N/bF8q6VRUZ+bliKR4fZEmyEA9FU
-sr2DtLkXssQvZgLFL3eBQXXVtTFx1Qj320fxUjzf9h0jlFw3RmS6kniOgei4dk/v1fRnlp96Dgdo
-D/fgHBJ0Fa2xeUsJdtA3JR5z533m2JmedRogH3KdXaV9TwK0tW69ZbpGoU9WzjHjl4UxFBralPCJ
-tzlLtIjA08zfZ/8/vs1q9HfPnCdMgwZGp9OnVC55DiC5bY6B9dCE6ILa6mAVIJ22L/X1j1mkSYDs
-VKOp2/NJUwoIOVuwKcKeuepISWr4EjoHUJ2gtQkFFw4gyrZ+26SlkI1wqp9t5nBCWt+c4rxu0mRD
-2hESEVy4tz7Yei9eq26/rK6Mvs8LG6NCQ5XtQywYKLnRqawPDZLIEXHgYyCiRZM1WA7KF1wYlrx/
-FSPGxsyY8woZ5b+I0zeUIIbYTWvXnFzNkixhHy5wQPZtO/d7I91YhmDFTznceZ43zcQX1BApJXpE
-ZnX6LFnB96s+2nSkr0rE9V9aQ8wxUMNmut8dxhwaEQklu6gJYcOyqddywt+za7OXPOnIJKgrD1Pn
-WTzcfZKsrSVvrcUgvf6Xbd70YYDl3sDL3uNFbvtK/QDwW+TPf69bXpi6mkjXmg7pODiOjEsDHR1E
-ZU8MRt9UqWP07h2c0Hvs0DJ878qeKUxzoCkVgVzuxVihgE/yEZMQTWpXLsjC9VVVX9zMmy3g4aJt
-Ec5NN/FbI0xsbf8cWlzrMjTU1M90S6SDV1sQ0XO8VR4UswogMSaFYIaku9Vw7a9aRowhZA67RGlb
-tQuab83jG0YYyeiKurGTyWa7Inwag/ajPEwXPpt7fEuFIZQ464wW++Yhr9bKoIqNfYzBLdIrqhzw
-z8JEjMQkgv7qnc3h/wHELzZC8sLMf5gzbzLKcUtSEQN4tv/65qviSu6uDgGL3rmjWOXGjrP85Aw2
-WkxGYLnwlemSnPkzwDyowK9KHicN3q4qHH6htezmV41aGzyX0uIX3Or5zOwCxjPoFnAv72T9jdfX
-x1JqIomaPG94HrKS0LQzqV2GdyAZweOnfbpmRAKTGkADy0/O+WrIKzqjFJiRaFLyQfGcPeUs52+R
-dCQ1J1K+CQx8kpU3pjlRgXY5UTANJJrIRoIyz/mficywDSPYeWnOm2kBY282DoKcBJFvp/duR40D
-8MhwxAqkCi3L3sAQYbLb83gpX6PS+8fd9RX6J8k7wJRqBLq87eCWpTobXxX58bSzAmtBY0d2SVFp
-vKlUNk9y7bjrP06BHlRONUoMQqwiMqg5wAD8ohXuad+bv0BmuF3k/gwnf2OpUMXYHcKppnnCdjtA
-sNkx3/PTkYuu+F0n5De0s+JZrR86t9MVZpn88HZd5KZGIiCKr5dU+aZpop1dyrVfb4szB5PbYoYs
-uD6mfs/qdg8D+cK68MpW6iuhY8oLVGc7sUK6ukvkI3uTrlRhB9ONCjN3LX2sDmha3aZjBqkOZziC
-wWvi8Hz2IosXKyArgj55P988JJufNBQce/Je4+hWEVOgbjOhEXWU+qbavpDLbzlqExxtyxDjdQLf
-qZnSlCaEWZG3XS7eCysrIWvqNEb0JLPZTLZeMcroMrOLpbTwIc5FAw3/mXKtGm7u9IYs1pwd6ZDL
-cITO54oDzB8tq4lGvGvJL2CUflMFc2fu9UEey//nPx7u5c59pM2IMph2pf/OzuF9QRof0aPmJ4Qo
-W39aF4thc6Grg3KreRP2RKGbDbhnSWEqARuiByZaNq9HPVSkzAwbXCoLSvzRZ1YGcaqYmKxFdGHX
-nlCDI9b/6K56PLxqn+4ruDd6ZQawk7WVLHc14K6Vrhos/n9vV1P3klyaDWt14wRs83pkM+z+ZHDT
-MSs/dK7NbLzXziTG4R9S07dxjQ2QygxjUlFpMcAYirwhXwfWzDhH0aWlrnyNCMR6wSl0Wk5VR3A3
-ZgT8Rw3mqQOLSawPXJQ3KIVgXKdvIPWJWjL/MXj8bFy8Ily6SeDbRtEDoiNeoW/p618lkCJBa/2K
-q0koiqoqiFHvJ5BAhYU5NVCc2uP1CKLoy/QoaZImmMsupyVw1BDp9ix832dcb1ZcjzG7+JzCefTQ
-eqGe9WCSzJgO36PhmrP6lAb5g6rPKHh+HT5xvsCQ5odaTrpeRryhMyI0qoj97/rfZCj9EdGZt0lE
-GpvS5rjpw05dWjAr4jwAqHUcCddq2gaJUs6UfxWkHGZOJQ7b1CEaLTyXioIRdbhEz0kYhccrrkgu
-vs9L7ik+7UEB0epXPMeiufON2qhf1KkOjSJY+/B4j5FoIxxKFbIlHDJuucgzAaTOnODWVnZY1kFM
-nB6yzYF3gQqabHczSDCIp8ZkA0Sax1k4/AJvTGpRQg0L0O18ThPJPFsxPD7y6xs6XLmIPcZZ06VD
-Jt2tKDQUKJZupqDjiGHB9gy/fafEw3U+/1UJGL1t4a2c5Gkd2D2xWdMrwtZoJHeWi6uMlC80+Xds
-ZH+lyxpw5Yfb0y04r6/3qa0B8VOeMUQnJuKYVcenVQvGDC+QJWudbPkMjBrCgonwCsmySGCQfS4a
-JYaTTxCGlFOLC/VUBmfuomdpKKlKb0bdhHQiJW4DOfL5D4aiE9iGYe0bwuJ2XZerZlkRAP/MS7T6
-nS2cCN7iCNVGklLeNWUK3iY6Embh4efOobn/hOzMMVta9OENhaJO07dS9zxUqJ2wlBrzGQWfiF/2
-7Sc9NE4YCEoGklSwobSJPhzYT9OkMJl+ZJ13AIUmSFozoSYGGsUkIp/0fBL/HNAnEZTKXFKsDYBF
-4PlFiJd2QwxlvZTGF/s1bdz6kfNoHYLrVZ+V03SRXOnrBTd7Cots19u/6IQX0uY4Isa+W2+hVdRB
-6X1jeNI+ozyWEAQU/q1BhA/XyKYMBxjbWdjiKhXPuejWRB5JfEORSeSb7Bm7f9Bs1Ngx2/LrFi38
-fs6Uo2q114pp8xVZH9lyBMyOa95RhRJl5U1cDfTQTZaEsv/+KnUMc8Jx1cR9UzN6O9p5fTc1tQzl
-vF1+njtqnJ4C9soakBqrnNiCLp2VBDPXYTGhZMkxJP/smqzXb4i47JVoxXFPTevydYLwIN1leg27
-cHCGba22HPuW7ht6QdesHDX7zI/Tq+xqmMK0Dn5v5LB5wOtaWWwNljBLlhVgBXa1gJPH6cnpUnqs
-nuFEU5VSTwMNZ1LBCp8jOmdMa1r2uZhsnFhmCYDSPmR0dgzIoqFPSfAKlEY3R/tdFZxTcoDYnZ7T
-BW7hll1TIKzMZC1x0vFneOmKKwm5qPVcLhcYbp2pxxOZ+xRCkrRTiEf9XMH0kUeEHmeltHGJK9T4
-okk4TroiOXpWuA7HHgz0eWxRv+4pRDIwQtlLRkbOQe/vFbXhUvt1Qr8iDFnmtSzyGJo7GAFT8CzP
-uWQhFSwWw8ox1PXYvJ37Eir/4NUmDkWdPSI84cRfk5E7cya+sqvMEnp2sLPxXyYoAnmpZMdnsP/z
-QnB5bPGyFadgdXKrrORUMNr890z41c5cBRvJRIbdTXXY5AR7yIHrG3VFF0UjRVaZjubG2Y3l6ARu
-+53KJVsYtMhTVPf0CwDOlobFiqHiFH2QnBoYFJTOMR41un5ri9m4Sa8siF1PFcZoTAY514SqZCBF
-LQR8MhCeHZgSIhbvHVx+ds9d/ffF9NFqoWh5VIaS4t0z0fHhlRS/nxPBCt2Zdv//4mXHg8xWmP/t
-S0DwO99z9rPTDBYq6KvgMBuIeyJg0Q9ODz+9lCpJpxM/WP7sfHXPiRQi2oRHyGLQJlaBLIySB677
-2VqLJ/fe6WohnWcipTwzGV45vqEGVZZupXF4L2ED8rdNiahDwBLVKJXAfCHnRNaHtLE8tSAy6coW
-Qp5kWt8EY2zL6tMIzLXWANeaqHiEUJyYRY4Y7/T/8Vxg8wtu8vqLioCP+XUKY68SJEmCugNH7Pqq
-wAW6P3rNuyRS+sCfOXvrNr3dJWA25JWU78VVLZngxFaPfjqQjejNBglwiw7qZljPBNdIjND/EsSO
-sFpPtDSwRcXBuXZRquQmEUUXWY7T4KNhWmA+2oD4b0wJI/7o9Z7kqeNK5XjGKBRubjrRMtHloBtD
-8tZshBBMgdGhBdL6xkzUMcYupKUDpu8I6wJfwIcLiVoeuN3kjXqOeIyx7NPrqXD0nqFTLaD/v2Wf
-GmWO/ZaC5NDAKaxRPTkxyZlEW9xVxUhpNXfytYrQ1qd1Srv6W12hFPLpfXal4H/CMTVoysyisndh
-nadaDeWvxIhgFv6nG7u++wVc2dh2oEV/iSTmhrfGRT1LKXmCs4sNChAJ4knedb9qEvl0qG3ZUBPV
-7H0YzFzzTLVClfOgYt7tEXHzn1fzu/0lAiEsQK6Iy8/R84czpZ6Oyh7zK8zzvvHsKWGV7VtZAsgV
-grAVeZWyqgz96OOdBkVlohy1cwnUugf2SAkJ0o5FSiY7noAJwqkC4Q4FCyw7oHU+N0wfD/N/O8yy
-GQ3lrd+ZI5GqpHzHnQqFJslTC9Qq6pmAccGhNjJ58MinlEQN/gi9BX0mkyN7T3TiSRIpHnF3Z2hv
-sg0FeMNVNybqUQKOAtrn76rwI4chZA+KfNs2IKLSm2GDe7BZ939E0ePMgHUULK4G0RAsQgj36R20
-7q2qnaEQIPjkHNFenVJynFdE/naL4TkNPEyKPppx4Gb+moGYGQLkkKXjJ2XtUyPKdPq+X73xG/C8
-maW/kGAZH6LVZg+3OnzRkBZQP/Ln7xSW8H9mZzyMqz/ETvqRckaAxn3Bg5breOM/8nDt+1TbhOS0
-uNDAJf66sirViJZ3AVVU0IQK1H+h1luObtaospW1aI2lg0szIUi7sNHbQKPxAi9R3ojfwq6dJP4i
-ZizEjL48e95ZHlHY+A9+SryboPFzII6F8rs68bUlhftGqJPJBrwMG3ArZDUqAWF+WsdLuLBV1g7n
-q9gNF2rnYt0uaBTzpouXqZ3/4RFxgoIst5ybERwEQSa5O1kzpom4pFGJHLRy1NCcNFtuvnc1gS2n
-OJQdTTiWX/iJ2RfzdL5ZKok+U+oH0pjyTWSnkxQySdP2qwx6BcY8TD4htARy2gAB+axkLFvrE3My
-Ir/Syt30ejih4zXS01gGccpDf3quYug5fcO2FFwGDFeetLnQ7YCENNLDj3YXf6W877xiQt4hg2Ax
-r/UTb8S+Fp0UodAQbm9xtfdhhOsy4q2tk2IuNm6ZcD+kW5KXWzgHIL+QFr6DqyLqHKQqatJ1LDP7
-6r7xP33GOqUiZDcHcAn0Pbb05+EVRfbqtMorkW/O55M5NkTtATV4EAj1U3EK0XQYhjK8Q561tcC5
-+gF+byGMvz8nhXV8W8zZ2PNncgE+9/PEeDq8rGl+3OX6XBo/Q1m/Wulcaw4=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_seq.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_seq.sv
deleted file mode 100644
index 33876200d912c5b3854ea958d5957c7ac5f828ab..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_seq.sv
+++ /dev/null
@@ -1,169 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92"
-`pragma protect data_method= "aes128-cbc"
-`pragma protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
-`pragma protect key_block encoding= (enctype="base64", line_length= 76, bytes= 256)
-jHC8ayQqDLMoIEI8Tj8tBR5he9G+aDYBzXQ5MOjHvmmntfVitVsdl1/JGdpixlBicd3Py4N0waX5
-F9b5FH1zCJHcFey/gXCZzRYiJ4jLoygX7RVxOgYq1x05Izio+xY9XmkNP6prA9sOS4mXG5dWHwFI
-wNjyxgUijotJVDL/s+duaYZGVKjWK8jN0ybjdxoeDSxujp8BQ0TilNCt5TEwPpKgU1H5s3lu9GsJ
-z9YUHCqxUEJjHnZxxQjH0sTxM8kBSvI0pYTzwuOp/1BFZ+gMyuCAGQqLa7cPHtSeJ0wCyBntecOT
-KisqXsLCAQ9T02QkKgRF7pGX+efF7D1whwDYMg==
-`pragma protect data_keyowner= "altera", data_keyname= "altera"
-`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 7472)
-8MWbbILxz41CDYsVwrtxUE7hngGhjHKSN4Mhmz3G8l8iCUmL/G+AH9/NQJ5UhwFqVe6YPwqU6+N3
-unDDvSc4+FRFTKMwGmhg3XSLavj0TCSgWpewvPsfXezfl7CrPcnLX8OZE8wW17OeDmix/m8pMZ0E
-2kO4dqWRgjhUdvJokqT/KE2zqyk8OxypID2y5cWD7gyGxFv61sfJiMUKxvC1mNIN9064VxwJ9lR1
-GAAlx9KxdrCjF/hAbMkiD1NSOUJTkin7sUpTSVZ80uB67ZSgRaIgVdMOrJvsOKU0zvCBaqVxAclq
-ExDbNxRgJBimmrt2H1w8SROwNVcdV/poxmppRYtn6DIVkwPWSJ9PKictuPtQouZPLfHfHCKITVCx
-onxG2es9rqbH6+X+5hWKKoJM58llOVltebVsowHUBT7ntoaKrCbNvbAwA4vv/orx7Rft7aR1Zf/Q
-n5zbmlr3L6YOwA2OqNQHw0pG9M+KTs3rzzBEUwD40kv7t73YaxYHdsgK8Ztls5uHrAeDif4/cDcj
-s01p6gR4ABvBAjWaipLa7oDOGM8647a9SfGKMF8lo4MXCWROdaJlYeS+2qkZ4iW5W8xAhbsYbyoR
-+4Lw0zZ0yFQzaI8MeiIBFW87PoXSvqCHMaES1Ur9z7veF/yS+IDPG6QY38FgL1eOD+bAFa0PTBy2
-bepn0HqNggckpr8yHHDqfpB4+jG2eKbye+JmTpxGlVD4jA99/wJKIcJNr1BQrvWOm6YNiwCtF+O6
-BplzgjghY9gMJrgQjksDljZ/fVDvz0UCjBh7NLyCN0Y0U7cMEMI/7ZUq7KdkJHvLhHx9uoLKgHmr
-iNqPD/nzdEj1uvkihbRcIIBHQjx2oa9dYNDQgmEd2Rkz7/lz9aFmihclBfAsCQ/Q5VmLhGIAGwUO
-MJ/PMXkhO1hD15hok/3w+rfPlVEtH2aaJCm6LNvbjp4sfECxTc12j09wllhgCJFrhV5HO+OL7jEE
-mcrnBfN56rNhVaazHZ/fwO/6F3L29ePU7y1C/Ato07DltgSnb6JyfcO6pj4XDkq41StscH9WmTB4
-+XfDa9Qcj0RbmnNhL8KK8fNTZhJjjhHRayi5G/vaSFNPjr4YYco3oCUzvIdP9rzPZVdScGb2CcvG
-wzSi/Sbwpu+U6g07YLh4fbCJ8L8UD0aqp6PoVIP25FKnUpjsjBgcyMVzhwEzz2BnsamXrtbjDm/+
-20MnNDzlnZPrH/Qrp65KCUflA9KDd80K1Ba8ogNgQQZ60riDqVtxi007a+m10gfOCg+zG/gbgJ9U
-z6/K4329S1gsNMFYlzVRRLutq/yItA8KeH1rhIdAT1/TcCD5XZiZ3wsuZSkGLWZF58hjRIQTim/q
-+Md0KGZXgSMY+0yg2AJ7fP77sX+PCexhHxBI4DNPs6jb2E/PV/tNoZHg2hhH7a2Uj9V7Ozr3znzU
-qSwwRdTfNGPY6MUw8ylRbZ7RzVEdpNnK0FfYwbvZ7x8eQfk5qf6xJuJzI0Z0lfvs6HJBpnoI6H/T
-i2VF9eICo6Ft/Lmxo4+4rOCgL5O16WmekL5e80GH3hn2sEXwRY+GT3Z7jnMNWV6KsesCulaIGtJC
-ZxDfPckRqAHVf7NTTwCy+2X+NCNwAgzh2mF1NuUVH4bRdW9+FYljLqiAF8OQqfoT4bWFPPm22Hpj
-11u3IRqDoda6Cq1QRb8KimU/vRyQqGsV4Ee6qaB5d2MdDJDUUH6aoWBO8yz38llDusSvpyxcoAJN
-TuQbG++IMbmwP/gWdI/w2ycRvLm+nRAWCSu7sGeBLWYPIoNGRfWmoSgs5Y6Y6VF1waRe/RZuBQiu
-kuaBCyEMYMqvLRKexSFFBkoX/nDeG9Ed0aYXngzPKwYEdd51o/FCRtOv9otY+ZmzaQc6b419GvSp
-n7i7eQb70vN5RlQZhCY+3K8qH+UeWFX4M7cosHChI8Re31CuByMpspljC0T5zL36+w8HHllCyrh7
-tqNjVCU72pSRSAkklilSapJO4kn4GhHAgwM2jwvlvTpakNu6aSSUyeilNy5p7QcxwowSo8JSBERm
-U1wgAvwI5zJla1QTsLP0ZmcFcprOf8Z91andCf/XIWnfl0MpQ0yeY6ckejfHR5ZCGSZBaOTbtG2x
-/micGo141q6e4N0YqLKNchRvQK6Ifi8CohffJgpnHCeWe7lyclywZd2Yw+WQqawQaSroQNLeIX8M
-I9SOXnkPevnODwbskj4xLGMzFITUFf79OjrtlPAj87rUIp/K2tMD/Y3W/FGJexV6oQLdcpTU+Hd/
-ZinIdZeunLnysrGCxJ272mK4AUfB3xd612oqcwYpgRu7Op9uKa5DeE0XSUoUsHgoq2yxXWW4rG3A
-lnEkjsVVV41YUgRB/ZuTOLCGciLOgSNJYMEILgADXSUa5AdZP+xnOTDpQ+0ga9ajWSwMWTRiqJVK
-6fYbiZshS7WLhQfnu9xSu2R8fHAHGeZIwqR4WxVKg1R59h3GssztAi2INVmXm8cs+ufGNeznk8BA
-3gg9BBwWlz0nuWa43Y9f2EmrdfZ0/pa8GLHqwlyrzoq4QavA6s4jnNqz453hB+kUrEypiNYxgqMM
-r8+969UyFqRSoXur81ejSWhFZ/tFXNvPJP8wz/y9+pB/WwTmKgVpiq36Tps4xk3BGm2RRKABNROh
-yUhdzi+RN1qbRQOI2IfulEN8k646GSHY0M6G68DzOKlsxl6flZCrHXeyFJPeLaseWe4fuzX2uqjR
-xF2KNxkPaECXJIWGykCzSQCIEvWNwzCFldzmVVYWhjPsmZmzHblLzW543Ra6paUQT+z98ZH8Og2V
-C0V2NnL1yXcqjRxrUGutt93yZYVLQ0b5d86bA9+jD9nhGTbzX2UReq2tGl01mIKiD4DAoRtDRtBU
-PWts/ttRgEcM5/O4B63t7OFqkV7Ea5gb3PO/TzqvQmpnQDcBem8+1HX+N5vsMEPtlVlZxeVx5tS8
-vOtWKz2Yi4q8LPMDRFF15KjstK/S/hidIpTm8gI224MCmn2CeqlofsJeFWdMQWNbA15jq+904Q8Z
-AijwQ8j1jXYFd55Rpg30VElsCrqBwRaN7ouEFKxCd8JGgfzBQvk802QxRG4QyP1noPww6SUEoM0B
-B/6vIPN5F6uguI7CKgcU1va63iG2sOaGfX2AhpptfAEkyfHKfnJjSLmmJn0r2ZbsG9Wj9SEkcMA5
-FhnA+pAWGvbcl2IWwdJokSuv2su6xhAI8fNFXcbQ6FxoQcE5yItxozz+gajmnF4LTO9kc2+4CBd3
-JxXX7JmpaJ061uh+5N3PI4E+QURwoGUt01BgRPnBPgD94SEC1oZ8oO+Z6pJQIONcui6haZEWHqWD
-xNd/8vZZuvN6rmOktvaspmyel5rwIqM0dmGzFCQAl0Nq+wDHP2fzzokHADX/LAeJPbs+rhp5wrMb
-whZPLhTREW2gsGWe+k3gxBnVOYs55c6vrPBizt1ZZIlWZTXeol2NZqn255XZROJ7eg70JDhdD/Q4
-Opi7RRDoiZVpE1h75pbr3hUzTEh/kUn+4l8LrRrf0osqnj72UvxEoA4Ay00z620u5Boy8hESAJ2J
-J9kfPc2g2N9k8v4jzBFSNQf61fR+FiJlXj/HuacpBVIOYy7KXRvA3Nh7Ff+YyomX1I1jUzmUZX6r
-x7b+LHfj31Cwu22ath6cLlnyEaHbshQpcmMBuCSQTJjP4SemBlGXH2vyr5WWXDULpyH+LRVfb5sK
-pCpQFO60y2iLtJZrRI7U3jbYCLFgNN5YVlA4w1izUbwja258ahdY0xhMlCoE6Hm9uw82w0JDa9WH
-rcp3GL+6DwL0vo1stu69b9JS+FRa1CjDMmCsIMT7joQGgBv4KITp16eLyNq0adYX6dx55dQc+uy0
-rCreScb5JJOYT4nJB1wLCqfFGF4mE4JdaDipaor5SSDaZZZCb162ru8yXEkvYstMAYalrvnd0Ru+
-cykw5n6g4UjqtKWFDquhKZO1Qlp03f4mdOmJA8SWiAVodnHMNAKIfO8b289xalhu+DFv4Ve4as2s
-DpG2ZevpqmC16JuY9mMoQ3SrHGyZNUhA7kYdL6Ypi9XO8Z81kTUxqYGT3SuTwh3ICMEI7RYi6B4v
-F/oD8CgnfLaFtbCLwJv+CAvlVD6q5GBZTO670zq8eS3PTueiYN+RVKmbNkdc8sDBSvTpgozzgK7P
-AXL4EI/aZii+lft2DsrhSHTJy1WC0gGxe6FbNbez/jymYBEw4vUVOQ7N6p/fC3FtN4sHfROlHtCq
-wXQIgwNiGTeOqcyTui6iG0xcI/ECfPG5jeTYn1unNZ7My2rF8sLaWejiUizZgyR8+lIm6CrJwEnc
-GhMI/RRf4/Ii4I3l37zC7RY/UPoV3HEa4xjIeADvO72X1aIiMAt5Q6eAfxNio2pMooY7wjTWqR6E
-0Xpl/+Ls3zjYOUr6xRMzLNlRAq35oAeJK4Bnl/8Wc1OiEa5Gx5rqIxkLukXqtzzFmvW+ruFKZEnE
-4d7Ac3oOSevfAbteZDzgDaBJZB1Cu/Epg5Anbn3dfJHGCFX83+pogrAxgsSsFD7Rpkq/APq3+G8o
-n79GHjHU8Xu5C4DvUxpP0vDDZ8hjt+1Fc69qV0Rc89WcovDj7k4yEIeI7xiqRy0Zrzgnz9647oX+
-tFFhE21UDCAM8n7agVdo+6axYBZoC2ZIh9Pl7bRmWZXodndTC3Twj7Y8mkzVienk9BG7mupNch1L
-0Z25BzNw3uUHM4JVzOq6GhcJlpmXkMw6RDRQ4jfuATtkhku5MyTa8ymHQjOfMhBK0dKPO7sPnXQH
-0NDIHiInsolyki1xBbqigArpOpLcpIyM+ITWMV1pZ0vcit99jetNKv1LGU4MmMeLPA1+jtXEJ+LB
-5XJk08UT8mxv8VyZlGROmyWIPhenAuzVBB85H5g+OfpJAbhzynNd2x0OU2gBFE7cQt/S8ttXi7lo
-lDNpHIvveVS/07fGbZUyiama9RzjxTCkPF7zBhvhuQOiH6uM1PKB+kyXTI1Mcsp7RANIpTGEDHVb
-71kbq9scYy6It3XfLIhYFLtXMfwAmwGCAmZ02fq+iSuNSzx+Rqhly5NGpvK5vKFof/NLTcNuaeTh
-Ee0hRLPRHmSi3na2ZBnHOvS4ySYP4cLcGH+DNMGsCXihtiqKJi+y5SDC1D4Up7KeEmEzeduM6i/F
-OIC8hTVro8n6b86lveecq7qI+5n54E8KBWcYy8jXuGDDQdQ6aLz4uYzbhv8r/6M5FXAuCJwy+7Y0
-U5TqZAxLSbQ6MWVGxglk/VyuSPc9IqDac10eQkX9LcZM6O8yBm7f90l+fKs9SbrX1q2W4tfMfgSL
-hHOB7F0jD+w/gZFTTrrI1NVhhHj8iLGaBBK+fhjVK8dsXHd/LIIeoOkizLgmhs2NuRYBgjqDD30Q
-0N58HOcWStQBBiTWtbyUniJMaQ9scGCZfzZBUtupXYTQ5OWcVOyAmJF/RG38PKPP9aozmdiiLg3d
-5Ji/jz4hrSWtI1Ztlyx3q3kLoYD/ahZH6TAKxGVQCU4JX33S69Co+R139BqJNSiUS+ZZjbbytVk8
-GAIzKhwM7rSeSVMZq7Gs+QLOdaoNGU0mERsyvdAAlxz65HbrKwcQf0EY6tGwWDDsC66/Tqx0cDbv
-+oyNmFwqJ2Hbbs67gRDAZXRyeDmN3fl872rav9ydlcWSQJ4DgyPvYl7aQcS4/afJHl2F4NUVCue6
-38d+fgnb1Dvb2DzCk2uuH5j+KMRMWcVvHfflcA/z0zXF50Snp6T5d2d4iP1iLIR8IPwCo5hBRDj9
-i11TqqdPxP84jmxwSmfFjEiI8O2qFATHTpC0MeiYgtPd+lQnwKsXvpopaSZ2BrKBxbP3uYnS3Vcd
-EUeSXdXLtM6YPHeTeNKAGnUORVUDigXOgeGcNtozOxD1oZ8D4Lopc06EYRH4nH9U58GAaPQgURHq
-DxMyvkaX7Jm3G+KjFYBD18T26w7UZ4E95xuIMlJdA3GXukN5pLEPkcjWB/NoTxqrtyjhJjgoT7jB
-bZCopapmNlKHbLUg0ZD1LurFTfA5yTbqN5BXR36uauCEQS4zXftJ8F6RB6aYjuOLKhK+c6xPQwji
-JLKG7PIwE041X5GPsWLyovfIS+y3BaRImpxFu9pyvuA0wTVZ/dY3YkWlitT+w8n4M0lc11fwIXgR
-462OBaZFIUQvet8Btq0byJfeK5T+M+OCzt8NInqjOAtAZ3/Jvda2u6UhD7Ey4t3RY7Z3oJar4hoI
-7wE1o0271vpFBykqg+MjM60AIF7mfpTBOGH5ujNy586cFtKm8CELauQkF+3gnRIKCkWehYvlJ5BE
-wFCTR2gGcGwR20Ekp5GW0qlb+ZyB+SDEUEo9Mj1EZWDqYDItVJzLYOoq7fCTRnKdHTYQAqmuIfab
-Qd64IBxEd7fPDhhcBwah6exb7iO0qTUpNrufw+OP8Oj0TsLDqLCXia3YE9BjIXkjIisYZ3QGyj7a
-GZZh3f6gAg+5yrKjR+6mZyGL+4eD4kd97qsou22JRZAyLGqRVj/Lg/Fp6/fjUWtxASE3Terlem9V
-fi+n0ChcruZhOQjfC2BlQFNs+UpFj3mtKACNqxIkzf/uCaHDZudpz0a95QutxI8Rf9EK51tqctmN
-v5pkQSs6zz7K19QsF/1WnRQJNSg5ME8bOZJhp81rFxah6mo53pOgcB2D6qAjQ6WJdKs0Dh79l/l8
-ZkcJJWOiQiKk/SCfKmeCD4yzFLKA8ATLZELS3VHw0fS+GcTBvV+79Esr1suplP5zYuRgNbYcObiM
-SmhN2B16OKMmyzCXLKWW7PynZ6iuMZxjmpCUdA/E5UB197+W31UZ1EWVQVbnRgQx/3ChRfIm6qyO
-9CXvnmr+NRQobHbL42H/ALi7IdHEiwX3tvpSkSY08jkJTdocol+p6wlNNzQG5ES3e1LWV4xKRgjQ
-IQYDypuJzC1wdBq9L2SLtqXedR52SSVSk9Ee3sLfP0Be5SfPiASzshduSxf2fIIobz1+IvAOvrIP
-i7HUfsOBTVp3uE9AmzMiVViUaGGanw8unnRhpJH8BFhSL4UfKPLmJy85o4Jh5OnZQhnsY+AaSDve
-kdDzYH1ZlnOcoNcikQJJNzM5OdJnsgVO5gu7igXLw/MAo+u9CK0AozWxwb0XOQxEZkIONYoK7jx7
-5ToFLJt8JKdXpI5ppOM46e8IKC2bexILPyY9tWGaZNta3kOpfhCcGuBDoyxR1Ji8gXUpfn6Xo3hS
-L1l7O7MF1v18KLaIIEU9r/DkU7gJVVPUPc+MnY0t6gC9HultoXU/F9TQJ7B8stz2b4l0B9FADWDM
-o8CLQPuhsA7F5rG2bUysRTk/4fMhe0CKFRXHhueSjo0Vo1ZJCT3iU9Ek9laIyegmEYZX9/jFtp0J
-g+Jg+5+1k+1My/1cQR6jtJsRHyA1AnTuZDwBda2BSBb0S4Ir8tHwSFkBlj1VF6mf0Ci5Cp31M7rG
-Y4aEuu51cgTBg3tXmiggzwjR5eesvhPxb72yP+8b9weVzudgIRTdXNuMUD7TvuB/EC0IxDqjl6ef
-TlNYQ7do1rGq6v2ajlchRLteImkEoJoKCTIeAVql5fFlB9/J6Hh64IxgERI4ZpZQ334DKEL8tGMb
-SX/6+JrJWAstHVyLC7YxjJFbGq5JOmNOUDckveSRF/RtHtZnJNYBZ/yfLWqCH0FyHRjE5eOxmOkz
-8G1x4sJ12g0tEDPBgHtYNPeE9zsUzuEQ8hqdFNXuWieRS7rhLJd+1h9QRi6UPdYA+cRbC+6LdNLW
-05n/EKHXshy0U5/yBPKnsUUJFPLvap6ugl0CIzZO6Tu+8gpAif/OmPLqu+TodSAJBdexyjRjyRnC
-HtwOxI2cUkx63enP7/gSs4IMVSLFXeBlytgQRUKZWeOmcaL1CFN630hj3pqJ932ZgCwDgO3Ro/o5
-R41iJ7/o2beltUrhHe52oLM2paPe4X4EzbqSZ0J/H3xHW6YUNR5JdifiCyfXry5Hob3C4gvDZCQw
-w+MCxO946bxDd1VO19Kd/5fdVMxv0Bo2xc5CWX52do3NneXXw4mvDp55HgSLzrRzrOZnpqq1D41K
-7M8w5G5jtqxTzn6h8PQWfVA1p5Yu2Rpx0zOwDHG+H3SoqxDlilOGMlLWyiU/nQL6I5iKFsscegJv
-9J1Aia3uVONve3xXibBmIeXDHl8TN94QArt5WVFq2wynVuJJPY8ENZU/Gk/WCYF4B/WlUOp5L7uE
-G98PgXbfGjNCjUs1Xp198pHMD0N1MurmQDB5ZPjJrUuI8XI3pyGiJon0iGlHju95YDdy+Xy2pRKM
-Y5xWYEwFK1njS5nS1GhOxOZukHAZXIfD6v93nL9vmU21EdEoscvLG5juCCdlVp1RN2CT4+OJOngd
-mL+u+peXqlSlEMEF/3EYyfrjMhrjobTe68k09VYmAf3U/n1AAoJ2DrbM0eUl6P/doDVI1eFzNqcL
-HB1WZmHlndBgEmZz2yWTKJc9cUrQMp7jCjePYlKY5CQDjvnEXCwxWC2T+ie/PDP1pogD7Uw9cyvU
-fL/Vjzf5//IV+RERWnLbT8JfcvHIUN3N8y54/AzCZFR9HAr74U+EfvI4Ml/9+UIShQbZsizp0Aif
-kDN3qItax84m873oI7asQJvMunw0l8Vjkt0CR96QFZ7RZ2VVDvzYSBRMzQ6blAQ1fsL8MOYfk0UI
-x6jy4h7guVCwgm6OOmnDo5yAa8iGLZM3cK/nhZ9ulXv/W0U+HYzFspYLaT/vd6ml3G4Vazv0EUhq
-FB2DXqJ+gG+VEKIpWKJNRZB/sskCCE/voeUsasUJfHiXnWeEnXWHhwXOa7iCM20DKVgzHJNikA5r
-KCbNawbbqEECuQM025gUKipk+K4cbLulpK44szw25QqfMFZhLrDLSeBLLokKw6NpLrqEdOJbCZhb
-Gbdgk6mgZSgAP8guERBDLTO5X6kqNyMKS4jb1GS1O/sl61EpO5DYJXFJuaWtmPnnYbHZBR86oBjw
-Rk9RtPblzbU/YONwqWHN3EDJeEw+7mGI3xoJNsC0sfMM2f7CgWd05Ln0wUw4y8LqJRqX4gQ5Ce93
-PSZvG3M1dpMtF/jb8HjnUfC9M3SlMvvLXASgz+9Uk9cu/mMg5w7HK08TsHDKCL5ICxSxr97J4Y/I
-PH9I3Rh++Dud/uhf1zdib0gUL4kQkdDNpirUSHuH9ikUjxsXNVn3WlpE742PU4lp2Lkk9LQf9h/T
-FRAyWa5U7h1JNfYyDVMsE7VYuZfGqYBhaBW2t0R20aCtigSBoykNJevs6lwqIhV88nKnT/D5ddm6
-dCXKLQKs2hKVVY3wunPxBotJwxt0uaguVIBACiBPrcZe0nzPHjklVK5XrIZ8A+akzZfaTFish0m3
-JXYxz7E3Xg9OlCJgIOdmAjuW//6GDe/EeCBQZPdPvNNE0G10IQG5F388AoUEz5i88InqbRM2lsBY
-krJFkWnSnr+AxfGCXYggc/dXnBRZkfZ9+3eH65hGLiD8lxBac3av+/mmBZKkceJwjnaeWPWQlFk2
-nxXI8Yp7sd6J8ESQ85h93V2SvOwem3QW8aTHuRE/8TaofNQeR2bLLyou4fib6z46G/xW2GxLfKy2
-uPNI/C2oNe8b7yLfEBFKwmFyaK1TYXWBn3Jyeepm1DutB5DFY/v71H8uB5UznUl21VI26Qc/ch4V
-3ecz5BvT6V9ZxctAoHYphelBP/UcTGxxiK5t7/Grs0ffsODEHm6Ht78CfRmxbux8bunELoZBe5BZ
-ooEfKRIOCCBr/equfwjoD91+os1og9MfiS1sBwefNBV1YnjmleReR3u/XVZ5tBMLVnzA+GDlPd+5
-zT+BuaX0vFL9iFOBahOg6aj+0g+ai85pYbualajaOyHga6oVxo7upjg4w2ojgyivdlDeIusLGEGo
-FXjP4eNa3s459wEPNVfA0w4qyF8lLNEhoKfIGwhKI73OsP+lKMVHVosXLsZytWnTrLFdGvji5LCr
-y8qZCRw=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_synchronizer.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_synchronizer.v
deleted file mode 100644
index 503df6388b2ddacf9b413b8ff5e078cc7535119d..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/aldec/altera_reset_synchronizer.v
+++ /dev/null
@@ -1,99 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92"
-`pragma protect data_method= "aes128-cbc"
-`pragma protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
-`pragma protect key_block encoding= (enctype="base64", line_length= 76, bytes= 256)
-l7LQexuVGOgDjsqhQG0dchp9+CmC/yL3795DYkiTjquqeznnYvBQE0/tDgjzw8ZpQ8YksSXvGqb4
-0XDdeiwXrVbml6bCnS8rC/x8d6EWLnrHSEY8rdLGPJMjvEy5oBI6QuPhztcz51lfZNRXLWCsjC2D
-sAEandpj0ihAiq52RQEs6CxkKsB45qKWVcqu9UeyOiHGbOyayyMOxEsWdMm0cbr2E8YEE0i9Ag7h
-EYmIt8urEp2qklI2TVAiOsx3w9lx1BczZDlbJUdOVjSXNVsiCaXEJD0wwinX3SqQM72J+U0vDa05
-aX3tWJpV5f0kdwCTIaqKmOkEP+usXzHQmIyYqw==
-`pragma protect data_keyowner= "altera", data_keyname= "altera"
-`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 3488)
-absUqukncJ1rL5zlFl5XoA4qf+Epe9X4biXNX06/pnwW4uVpk8IHZjqAWg7Zpbgvif7J1auEVQft
-j4bEcf6Vzhv/hZxOaOwWdsjKc8rnQw+A0q+2xc0COb+OpSpjjP7jMd31H3jqw+OT1F3vjBEJvkOA
-OzIXdyfNwIIeCAtBDjh91UBoeP6g4sk/Kw5zaBQDL0EOw3ZGWvBJkiLH3fK+cKQIS5bp6Bm8WG9A
-3C6eGwpIKC7lpGbJbdwZo4ntAT1gRAnuzvMwaWK7/1JVL+mYLwxJDgWPLk29wpmN6xGcSAe0ZGzQ
-KqjUTTSESTAsjYxjV0cCmAbJL3WUgegQLaA4Mpwai6rd3sUwMtmgycTfeFtGAcZUTQvAtSQkSbQn
-0yu14uYYq/2UhsAFNzVz8gW14dbt95uOplb75Bznjb+ks8cb6+2MZx/YEb57jg6EYgkbEcuxvjiO
-rRWLGxQxj1toZlhBrgn1CNZ5M3bUhnt43cvouOIoFfNc6zbkOny+RiwgSo8NoFEWF5i+dkPHBAv0
-Fsy5+TAhQdNG3qhw/tgQX7/tIt0VAqhQN4fZiPJPMfoafUsddWfBVKEoF3WEYbAWYEt7xJIr5AbV
-pnBhuFTpvXXw5noKzREgKxgPNwQicaEZ1eRJaE1wniTMD+Hqd6iJHLhLEwi6jjRBpTI+gKGuREF5
-EidKx2fBL8ueBuDH0dEWXeefRODCvj5Q14Xjs20wx90Kwqc1woi8U0sJHgYcV319NwP+6z6ExmUV
-vl06YauXKeCBJIvv0hS5AcNRq9pzZrH3nPUBzjhPFpQvWYAuY8T06mYupFKOGB/maIOfTDdHzas4
-HTU2Z6tp7BIDkoG3I/7+WWH3cgh1YVi3Ii+J/oJvijqmjwvCzjYK+CqaeAJm0bD1Iz5099RZN/b1
-2q4RLYGFEc+RKPw1zNxcZhVSqpKr/3v2DulVBCFYztDIAfLu6cwuyzUQfBEYFf6X+mLhC3YQlJx6
-PvhIn32DhnO5kWCcTNhnO1SV4pGli8A/p5LiQpoCOFBAqBznGokjHKrnkhA1GSLw9lamw7ex80PM
-EBQDgwfc8iizeWqJ7d6UP76bcd1oyC0OMga6j9+4hrV99ABoK5bZJtDsKYGPO540BbZ4g7Tr7SJD
-CAMctUGiB9kiXWKSgK2FDZUMDVtEWVJc47r9hORcgfWm3xh4YT5vIllb73MRSW2pe25VZbaN5H14
-cdiWJtiyGI/Rh85neNZlatmcTPtUKWBlcmJ4ELq7uL7kMFwPWF0rB1HdOm1aMl3l/pyr5ebeojCZ
-E3kOgZWax/bRTL7VkYXu4KRizABmEvwjsKO1zc8SG8O19zn9hfjQOCao1CC3bvcjpMl5Rkoxmx8R
-/Nw0dJXdyNKHbLm8tPu8ctYFqFdz+MDylDQ1yTCMIK1DEinq1D3qaW5/cPPCqZniriC7MnzedN6G
-ZB+yTPDcn/v82Q01uhWLSwKPr9Uia2m4JCUygel6S49UOv1kOMECCI1jQxLV8BgYriKuPPPbF/m0
-acUBgyb69xzYtQPcQ/t621OkzOuX7Ep/d6ko5aNKJmdt21cTn3SHFE6ie+7wJ51FpzdrBrkW7PYY
-dZLHXRUBAp7qun2g28V0rvo/ZvIUoN5Ywb4PGb18M133BoLFWoiOmB8Fio7Iigk4PN+KeJmovwaq
-rBZ6jD7rsVGgeK/GGKTp/OkCxKRves1KMD7ZamMGEjwQaLNInktHY5sMZmslAfXE5kfiX5YDMsvN
-CTYwqpPPhxrd60RC+8YtC91ElNqWHYWPMEjg4PQoPI7yKE8MAn5iidE85q1UkEglQ8At3TrW+jCt
-qmjy1nU0dMjSyQ4nSMBNyVpvMcinKW/N0h31w2RxgEDJoZlC9M52TsD0rZ04snsqpmCeXBPesPkp
-R97Hhog7JM0q93tS6Ra7HYwGAQYazO9fp0UCKWIkRKA6Tw6M4sXNbxxwfGPkBBNU1zCxOPE7Pxvq
-WxKfPGPrHbLRQDTbxpASn2s543Ra8jw2una2tOdpXFHvRtDT47PoiTuQPVdm1ysqSyy3FzP33C2b
-jnmFoa2pjGPkwh5S1z3sJZh3AjXME8sprIUHzF0kCwlai+VuP1CG8nH8jpnvIrwae/CigA1Sdzpq
-r5uaQQKgzCXAC+kM3gHsfER3AR15F6QArL9Lw5TnZXL7bhQzRT+0bT16bnBLm//09ySQxPGKhzYb
-VEPY4OBHHmSe1FgXYfbfzSsY6mo4WjL+9lSHRbk+c//y1IlmEm5X/nRbsZIwm23EUJMSmWOQRh1s
-hUOzDzttbF4ZP4rzXYqKsM/EYSCtx/NGtkJbIto6PD9v1s+JydhL/KUhNfjgNpN2lQqV1xEIgb4W
-APlGaW1/WSaHBzOwqR0hJTI4rL9/0AJxVY3m8qKX1doFPQ0IsWnB6v5Q4d416hZhzlc6VONVljpQ
-HWBq5bmsMp4t3oR6Js8AQ8zhohlSBLeZZc9UnMfO7g34jWHgOlEidz30mlzCdFhAlrY6vn+8C6Bf
-Cm3GWrKQf1vzhmKE1+Xi0MTrWQNLi4ujYruGBQuKGL2catXp1WJ2BJwRz0Q+KsOJsmQH4s+Ssuh/
-jOujxY3OQfsofQ+/Wz9LQFAf1AnEUgHySVzzh3kdd3dpin5j8LG2pdDYi/Eys+OUctXV4sUSyjD4
-vvaVQJJDBrOTa8kOFsx8o5CAAkUD9nFwu3obsk2bhMm18D5U0Cs15Z1Gg+lEh/FiSBehV4Bf+siA
-1GEJI9SFc3YX4xfTg/c9OrCnDEduM2WrTOidCzeVx2qWR+XThdbxoIcRPSiQJShab3JtQYMziVrT
-r/caGj2jOsjHBJxNtQQZYvgzqDBz5M2P87UpAMe36ifES8MKt/hHgCBrWIxhaeBOVqJ4yldmPVqi
-Q4ajn/jsfmW74lCoJwIr1ij3tsLJI5yy+sm6KvjXDP9PkJ2lTBZ5em5XBXkkvPVXG4rkjhRcfVHQ
-SH5ApPLAn+Lh43lgueF+tBC5kWNnPSsRAn7jERU+qtbrWMv4kAoL7SJMy9HCg9QfffjMmNjMqHYW
-nOdSapEcF9nLraFnrjZ7bATUYxq1CTBZnRs1DCiNreHcKytZ4QXJ9MfHvKRII/8gC8bhmpsXUn/g
-k2EPoWoEvAd1NK7KrpNwU7dGRpJXVXuxsh3foPr2iR2pso1L70yL+k3jAHvo0M9jF5s20I/IVUeU
-4us5op+MJuZ0e55/TT+JPNIMhrgsjpncQQ1hfhQGQq3jv5BPfHHcFI6Y9LDVK0fE0JFqmnSIwws+
-rlJIe0l3JhEEc5B7kn22XsImELBh1hMVu56cY7wj24p1NwDHgYAJ8e7f8phrii6T0P56ief7E7Z3
-snIGjMgaVWwBDGk/2MGR+lCjiI+P6KXVozo5NtA92kN1+Uyxpdt3X4H6TqEtLsc+9Xk6+oSmOZ33
-0Muwq3VQmuoMh6USXIc30ElhpYePpstfT0P+wjFdzyDqM2m8Nws2QtRh+838hw/FWS01sE9shbOv
-TyuQilqPL1a0EUQXuvuWFnYchHEma8Fq/FPu8DlMfM9+qpLWni4OzAv9shIK0E3fuzffeO8zQi1r
-f0rK97ENwjrHBnIbPwI9HrE5eLgWnNih3YFsk7dJCt7sPjegqmVs0nJuvIiICHbH+UjycltELe3Y
-GFuz3o1FsI4mKwlNDF7zcYenlGGzBt9LkhvKy/9Cr+naFnlTNLDKMVSRfay1VDzwJ/yFfEGnty14
-IIrPo0r1Mwt3ma5I3z0i1cQmhlusFGqjek3qw6opwfDJ3A/Pf2/IcuoFYDFzBndYGAOwnxYQ6ILT
-Y+X0GNBRDUkaOhBPeuvaPt8LC2u3OPN3jj3FrIHUhVqDfQJXTk6BUVw6DknYT7aJjY6IfrR77fpE
-yk9IVYrohM2vbpxg4Xv4cudtOlOHM5br5TVBb+vsTswMY1lcf4IfBit15Ri8nm372Gwo/X4iS336
-pA8CWZeRp2Fej8Ah3VHCLQCuxLuV7waEcFwpee9YmPu+CCyfWkM+TWZkxnDxPgPXF1/+Q+rPaiE/
-IJJmmuMMH7aOBnLuN2t5uo7m4xSv8wRmbzMd63KdA+L3/e4TgxzWN0GSig/R0XWjjCTH3lPoOtjq
-9bO+LVG3RrdvmvCtFbSKveqKMO+QhYZowFrUxWozTpMQUiQCddzU0TRVaqBfAsJhK1kKYz5wgmd5
-tcHqIhvd5HPq4HnLEn0bChmg31H3sMMR3eqvr4V1IBV9ZYL5eUOhGhjtFeSyCwNk4+ytDISXE6PQ
-IXhosQ6346ryIMR3qW6DDP78rVvv2eFrupMAHybOQoL5E7O+euEdmdEYio8t88FtSqB5l1NyV+Mp
-eup9VIyQ2TRljq4WI6evx+9SlsZawjcDhIoW24MMLC5/dqasfwrznHADO2Q3OLU1SeQ0GpmWhPrL
-dQLLY9EbPfVU7CnNyiBQTvz14wjikfThTplb04M6NrpsI3kE+r1HBFca6okc1mkTQ+ExEOkO/aVO
-wSVZH5hHXnkAci08B8LyxCGfBVHjS1KlkGaOW5dXjoFSpBh/D/SUDIdUzaxOufZJOurKZi8X/FN9
-pCpBmdidyPrkKzMUGuklt9/spkYiGAIJFlE5OiojXeEDcNwZFa9iiS/YYaom5RmuuVAfeaoLAGcN
-6Y4r+EprASCytGQ=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_controller.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_controller.v
deleted file mode 100644
index 778383c37033da3f93d195a757184d2106cba2fe..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_controller.v
+++ /dev/null
@@ -1,297 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-//pragma protect begin_protected
-//pragma protect key_keyowner=Cadence Design Systems.
-//pragma protect key_keyname=CDS_KEY
-//pragma protect key_method=RC5
-//pragma protect key_block
-5wIHFsZg/ugputTw4fu3+oKWGk9Kp/nahjQ03mpDhk0CLICRJBNtLxTIrfsW218Q
-v4SlVc6vN4xnStdvhfGZCtXk4WzfriFgP0iBiBot+bVfg194pP2YmsQc5uhj1uVx
-vZ7VKZBI7SxJOs1c3oKZzZDGClz9hgiw+rUkpmsbN76egxB44e8z9w==
-//pragma protect end_key_block
-//pragma protect digest_block
-bBcQBZFU0DIp7Nz7UKuovVsJSmE=
-//pragma protect end_digest_block
-//pragma protect data_block
-2Lrc803pmDG1xyrs30gk5Jk5z+c8gBeOLslqXZeIDBqbydUJrafMufLPbQjf7GNQ
-EOC2EEIdpsXjGgMSiQFbACfTqWUHtMYUr5FUToL390KcTo40O6Ri4A6TXadG7Q0+
-TibHr6HPTdCAH/Hw3ird00U+8Oxf9jiXGGZJBjFwxp/iS+y+sZ/DqLH5f3mPsuEQ
-vH4oWTJWJWNusOsRdvOElscA6hWH4f6A2czT/Rcw0h+M/NOM1Ie0PTyOsYYapvUp
-NxJPT56ERtx53ytZfnvTdCWsBSUx7x+rz0DZI53S+zi6afEQqpy+43M1NBWNz8HW
-rmZwkT8v+C0OQrxPv0OjrPZ3gRKAe3BmEeIJ5lks77WtzFDfxdQv6eWA25GGs1DF
-xNI45q9NXQHO0NHAP6wygIaS1YIzKoKpriij0V6foC9T+YsfEXrlrf/MaP27hkOQ
-ikx9FDp6DnL/IXq7ZHuVO++DiA5SCiqBXfQ7HF87wviIBiG/lkdTaXhMxWrjjG9o
-gqfbe94/4d8MNZ39gRmWEJaSLGAUxgXAyVKj5+1YBRrdgt5T8SPv0bInczCdTVHR
-DecUpULXHAOvfVHZuI6lHN90hPuP8A1b6F4IDsSBVQP7fmlnWIMD1J2iqFZtftoc
-olSmTmfcc8e8c6YPm3x4a1QRBBW7/qS0Qk6Q9se3wE//Msl5jdQWlDveaL8aAtx8
-UblHrrL3qFShqVG16eZkbs73YgWPSHJrPLmmZDp/KWE/VeCYwWK09UWAmsctsrM+
-mwK0c/qNDzLYm+lwqfT+EiRbh5TvAD1wKK6mmyqoOFVxbooM2Jqmor3pbW8XF/ZC
-h4PM2F10RQxfe7XRg+GrSLfGED1ivCO0odfeqYp1WR+Ei3lbFK36J/Y2GJ++Sb9A
-yPMf5JhucYo+pNtWyCcj8iatbnOk0LYhmh7DfxD6akFfBKZfl1MVhs5jEWhL9IsL
-ZSQDX4xizrd0BskslNZFmvJ1O81Q2DKSA5Hs5ZQ+I5mQeDHJwHV8MHFdBf98DwnB
-PSdiQk0ozMnoUVo2aR7JLqytGDhdk8kP84auq8Pjwf6z5FfQ2DjV+IpetcwERrFo
-+/GCY7YhKfb/dwpxAP6NY3hxAjT/A+U+Cvm4ZIvKKNxFmxRjlEzTzEbLvA9pTp9H
-SBb7iP54xB9ilWvMNGuGd8wZSiSTEhmMvC/yi+puHxo+fUxOvJxW2k28rL+lZkNv
-kO28PLQvxgV4vmdK4k4Y4yYfXShCnX/EzTEqZGv5f/vGkqIEKPIOfNz5TfjlUGGa
-McGShfKFDeUpFIslSCpKJT9AWhBC/48sR1QB/zNcOLVXZhIv0fsmvMPB78AnoHFp
-z0mGQ0cXHNqIRsfeleSDOCztz3q55cbfnTttMRm/U9ef0XifWI56sH+0NiXfEw1J
-CLr8Ra8AiN7rzSzdmWv0hnxKPRuj6Q04n4WtHJI+DtsOwbSi8BbdXHeVEMwhcfvJ
-hCZLbn+mU+JPgcN/0MvqY/HLmdo5Hk7whHE4VujihudjxUn2oCEVMyo6lCO1JpGw
-ILX6odkhtb+4kK4mu1rDej1WCJi3olR76CaaH9k1LqOVVhDaF1eFsEF1owci9YNZ
-cEhC71saY+0PC2SuMHJErOjipAFK0yEUu2JCbLIe4vsR2aTA6CMAzDzy3+IQQWRf
-dcyCFnnMPaUg0QPc1kZx8HqF5sG0DCwTt4BUcw3P4t+xyH5P4H1IgIggva4mFhfT
-KM5y6sJxqUbjX9gxPTFFxv5QqjyDm0Qff36uPBY90ws4N0Osie9I+7lV+Kezw9SQ
-bhB9qedUMb8uBb6KhHJEhTb4xRTP6rIr1cIbVtHcOOeEKun7BXKsllYIeSl0JHgD
-dN9SYJmkBY99t8XpJ7XIo9Sk7VJFMiEA+HNCsxHTfV88DiUIGhbzgYX1m1gcbutC
-sdxyJdaIXvjYE0OQfTzQpK5QysBZO2+xnUAtD9NlSMlSJiSPOzu+fxC6XVwKumJb
-1hXcSfMUIdCcHM0qbz+a5CM2Rk1PoqA75T/eLfoyMJx1pJeyBA/9J8QqkdPjq0wm
-6BfIKCEKB8N/V8W51f9cewGConVQeBPWLz73xtXHWcL4H+zmxxZ3houiPp384Kk8
-44F7B5V4WRO3iIE/3AzpTWVTHERp8bdAGO8w1SjRC9JElxxTN8MR4xjJceb5klPs
-EYbusXic+m+QgzxxkxfXE+Vg/yZ/QvL6+yRlwNNwr4WQP5KRkoiaOi8SM1ZaYYbm
-RjJ9TbXKAM8xbyoBxEUXpd+WTFGd9JfYGA2diZi7dB7ATSUWzV9IqR8GZdqIz4Iz
-Qr7MfIhJEoncjIE2CnPVYg5yl1cpYd2TkqbGarOhjAh5XGT+ux1WzSMliaYLEL8P
-fMIyGmBkrfKUYKPy3H9mtiLbNbwen7WV1WqD0/u957yP2lVWQq6PInjX7cBlqNTd
-IjbZZcpnPbH51JXveWB1nK04T7xXmm/YLOwbir0/iz8G66sI58QzV5yNVK6BHFyj
-4Mii+VmF5XAhzHL1ZUDpz67Xf0fy5zFSF6KkzAQjgxhy/r/R/sT/lYx9rrScsLZ3
-JO9pNq7wNkayw8bjeeKHszrJlbi8QnuMBJnwfjwQ4BUcTSyuk4qJcDTAXj+rMuUL
-O6AbsU142P/mbz1yhy/g6bTWXtLDzkyQYY6H9TKjgAdCsMMuv2YhHx4iTtz4JTl+
-+eIJhQedjAg/tzz2UR5SAU13M/RyAubxE47/Pm+qV3j1X/lVwZRn7fgEhHSsC/jO
-QOnzg12/3fkKLwvfB5YKYCC9zzeBbFEAX9Mqs9NyxLu9y3UK2Gn/G8XP2ObrTDi1
-kpsozgjollJgF8n03bOiZhwnKy+MyRrcOZj0dbhwvsBSVBRlhPT2mzIU/6xOwVU3
-EMRBuhfAdLJflIidaMYdRc6dE1UnU4iqzsTJXZ6EqA9kSxx0rgdWvymqUZYQ2inE
-CYM2k8X+Za1YuxQNdhCytDcYF7pqb8TuRx2/XIcgqszzdwlNWgIjoRn1zMiqE5Ma
-IyCZseHkMModJvbUzexxoO2ACX8O2fU6YibXOlVCNzZaO7aKxXOOl/p1eZr0N3qi
-iB7w3hcNDCWAOI91sNFQN7H1clexHeiNTph1OHorn3bvCcuy5qxVxxEA/dirtzmS
-YVCJG4C77+LwYGop98VK9hUECKNPo5KI0vn8mjVX6ZZryu3jop3jDvF0ss1eBkRh
-qtkNeupSMAUwu9qhaUm31lUBpcIrEUxheIYs/LZ3egESXDysTeyPOPuzs4ndNxsp
-4syKa8P92cznJk5z2tXsLfDmiyYE0sHTT3jBWWZEb2y2WSBQ2Di7IjATGixRX+YR
-oYsO1VKpkslatMkkmHlKLIpxgW6Y8u3wey42VWKWVQU7vDO9CHmlQqDyQ1tjZSIx
-ZjR49JHdoikLK7Sd81CWsVWpsa5H0bTPabfYPFAMmuMjwYf/iIOfNa2qMHwGkf4v
-42VSXAdtWZGnJyOiehajZRD1bg8QU6Lvq/oPePdiNa80Bup1Nd3qTZPp2aiI5KWI
-NOAjQ6a1xwsNZAZ4nMB16jJKoTwvLd5z0hW7rstk+y1kvegtGKxQ+k7l3Wruj8Yn
-VHTeMo6BfODyGjBgymij6PgHcKFJyGVNYpiGwYEr6WxSG3I2Ha19IHcI/YQlUC3l
-YZ9wNAoRIraA5qyNUyirzyE6SWi97lJe3YeZGu+vZq8E95DjzRBdDE0x/zlBovmq
-KvdQfBgE7jt8j2zTD8hqRmWCNjkuBncqkCWbRz2Pk7Q4lA06sI0UUTQgomMqD+rW
-03LiV2oZn5i2/az1oE7/tG2G+X0wmF07RgT+pIM07gmiG5eYhAVfCMfmjd/EnX/x
-SfbDpscrZZpPZwRIz8HEZItKvtMH0hplYudyetc4o7xAlRoh1X5Ha7QFRn0bzGV2
-YAFEPlYYY5Xh3C///pgKKJu8ERdcz7jZKf93SLeOcSHTQ1z78VOPbRfzA7IB3Fse
-Bt5cq4mor3K6PILDu8wKk3K/syCaz7sUoUJT8fktNlXiNCH8qQgKeMg2iwv2oZfk
-Gq6QAKJcNmz5B+LgA6Dir/LJzYahGYSXJ9LZxV+gmwet9RRwEnGXZAl9FnjuuXiR
-gfhL70PgZdY5ygEdqsCd+ZNTfcrnsxrxwhtxl2tnois15J2lVAdLEOvu7D4B1t+u
-Ew0ZsLQL+iEGyJbRSuT9iz44cFQOR2MKgDTBY6v5a7lG9dB0rr4KFNowjin581g4
-g7PniRUwBX2NFscbwsTnhYXj6NLoPb2KJz/QCrnQLLeaA31yAyx4mbjE6Td1q/NR
-X/toMnrHnhL3L9UValsJ7Pkb0zDJ8RglAkG+HI9PpInMWqvG6fMDjgu30ivV9e+V
-+9MOO/jAUJWMR8PggGNEMBycEJRhNvas1kO7h+I40pYQPA9HfVQ0vRWC5tT3XSHr
-6ZKBoiMoj6mY5FyJxrk9YuRDKKCpq2k3YDbnw6/Do43dM/h6dDdlXIFZvXjNfx4a
-7CUiI2BKaEZq7/T2MtUbQ85LVhBYJ8AP6P9RMvn7x7w1SL5Cfj/zGL0R56aIJjwJ
-GPQycD97qaXGoZGtE/ctK6GurJ3P5ZwbLRlSNGHMx6StcYqJUgRa1D5duFHtMFVe
-JnxYH1dXd1DUKCpVZXT7Av2aEpbwrBeAdFNMH9FQjQmKBJJPnPl2TghPZV8wpoZM
-QJXAvVIMjJCltBD4tPsAQe+aGvtTl1RPeErllbKr8AkzuLlGBvAXRiqaM9vO2qD0
-70BHf/xqwM0TjFuW0YR5chtHUNeNDUxc/IYL6/KUa5dMFd3nmudQworcaZ8hxc2M
-cqVH7xmF/yLjqw7GkSm6H61FZX4i5DhcXPJDh2QJSgaGNSKpZZjP9DZ1RV2LFcjd
-y6qMBI6YvnHkukFDmzWEUq+DI4/sv/9cndc+5717Sq00U3cjjLRbRwC3WtLXtJ3j
-pKyLphzoxo++X/YL8IJ4/NDkjdiJ669Y0zVPNc6MZt/iixq6w7s5sAvh+YDF9b4I
-LssotwAEf5bwX+k7Ql7DJacHg8CmTsGmzwPxlVjQ9uCz6Zy4SooFFeWIHGPJj7he
-yEmQTOpiysmpNcjfQH9VUaj5w7TcjZcBDbl8pU6BF54h7mq+W3Bq/sT+vz50cioY
-m9Krz1QDecVfPpiUaoixFII1+jL8xnYVb5JrQMdpLiry8nm7bOGKola++gsD4/Ms
-IvPiwUmgOwHgCYgZKSNX94x45i15rYdZXVf8XoOTcAl3Z40MmrA8qtxE1bpDK7AG
-XA1/G2eqb9clLzNm+9AVCgQF+egr9BRyCY+zhhqSy3GaH5Z2X9ZhOrLaSs+Kp5tI
-uXqa+VpOHZzyfetTu2SxnQUdEhS/R+8ai7f88RYZ3RW25ts3cELEYJOMgsCVMGCE
-LFxBGzHDyfbMzaHdWMuhvLaOLekUCGZzS7z9hXEsjFBxzjxQUrScAGoq8PdODHa3
-o3PFOML9lYPnsMm0D+LtTcLi6TQu3hqmmwSF4niW5RhV+G4J4toCxKFCCNcnyWzZ
-GTM6CNfWhpOyFRv3dC9cXokIuBjy9kXrCOeJ3wxBY7/zf3InlVdztAIIr4OaRnEa
-odt5WExemGXcxqfRu8s3rXRT4iK3faZDVbJVJFdcjFqmwAG6UlBR4B0ttteTeOjg
-4VvYdpzs4eJtYSXLvCoLYfs+c2qpFjfIl1zNI4/Gc6jJqQTloDx2h1PYWgE0CXw3
-9G6DBvvonOX869SF1jtYbLL5qxvTrNKnQDoEEf+83PIn8FHMTk6Rb5/KobJkgIDA
-ZRcbJr4OaU+x9DDSnXA2aXuFK2TVX65iuGD/43kb9BDoIcJLkja1emEwQpIhZVrh
-cnII3wsdZcKbLcXJwxCDxe5k6DqnbdSAnHpOcALjiC3jr3wEaCGzX1LMVWjGSTKC
-PzdnmmxWCYIAqQxa8Cuc9Bns+5NjyEGA5Za3zjv6stnhzVkJUq1GoZNVSeyVUJyV
-Z+rURJm7d2tFZ5Xay/3O3s+z0Cfkx4mD3wu1ka4P7N7ZrXZWwhrhRO/J5E5Bem2O
-vXqkdg1ssj1wR7qSX6cNghKk4mSgsXMlCZIdKZBJQp0ZhlCMvfBGarK0YW/j+m9S
-Lw0SVqmujOFANfQW1CVvf0M+UtBfGFUSXF6q1OAxhR5IeFFNKOqGph95aDk1N5hu
-ffvWq+Rm+zoAe9j6Wvo8hs2Z5y6DHB082Hf44iv7p5C7Vxc06pJzbs9nwLqmi747
-FixnExfhsYGX7MdoA2lhV05qzlvuwP08fdItMxrdFI/HUlgnTXJaT93oLGItICQc
-0rJycIXHPX/Bi3GPvzIp9rARC673RWqM+tpr575F3ONjHaJRnJsuoMG/Ug39i4LC
-epjxbsGTrgeLoVMuqHTOZVODGjYl/FmDWudMcDdXOurKh9h6K5QnVJ1dN1GSk3wf
-Ziitn3N1aMSOpCc3VCEDqdL4FKZkHdflq4k9H/kZkWzIcJMwllHQ6OakKXUny9hl
-hjKeJVxtjas1d0jFcll8iopZTQXFqUDVW6kFVJ7xao3V7SQih6iyw9Wrz2JVVFiR
-/Bg4qgYGP3v4qZNtGVRojfVxyPgbHQdeZzb2nmVxQ2UYFpK+z/JPyaweNYNJzJ2F
-mUS+YudXDWSbOL78IaIhl9YnyqsDPqzPGzi/FAI+rA1igFxOyJ/ZnuwaDnQaFOCg
-cQFDdxRa9TGYgeb/OV7YSX681k3uLwSmtsgNYtff5Pzx9CbJtXAP2NTRTUhoFXF6
-XUahLfk//ibGGx8Lau67vzgXyEsxuCJLR/NSVCD2CckfDhe4FXOpZdbW46ZxUEUJ
-TEWPuT8upBlAA0ygzjSIa0Je4Y+Clm+Hhshtva9vdD19L+hpMrVaS4/pvTuPfSro
-xbHEuLUg5NuFojllL2FCtAch6x+wW8FsMtHQJciM9+pGmgt2dLtrWmiDWj6bV8ET
-433cu1Sl3N3qiVjWORl2X2mhT5TYsW58AqOSX3MADUeNUKE98stA5UCfvuXD6ie5
-Ix5eqFHNIccUBOCmoQy/jX3OaweSrKYJJFm1J9ErXbOXm1SskXciKFsPvdXtV64T
-J19I2LkH/Dc8qlRSnO78SpxyxHKxBQPIw3/jWSFg/yNDAQ7QUu1DZdDxKyFjk1yN
-imRea2E13EMEgz0NAKHFs3OS6wdtcwyUMi+l+a0ckFG/V+No9VexFI5F4DitOPZ9
-nGIJ3l7gC5iRhVFJELkBIdFzsOYrOby90o7JkMqGTdJlkt8BzsIgi/ymWRHUDNGO
-wAh1kCEcFD7e9KvqCsUW2xuCdfdSZYUcJjQ2Tfu2LAe4ox2rjFREdtCbegMrqJD3
-/Y+Rj+WeKmH8T+bSfOESkiA6keY9Z9sWtktUgv9BVilcG0bqUkmH+GcItieXSO+b
-tiCikdYFXWcY57NpNS6Ug+ufwcpdPlYXCdhSu5P375YJIDClSk4eGh1bM0XhF47J
-9tdgyUBqZolUc2l0CL7DCMniDX8lsRwJKFdDUdksEFYJarGCu4q/E8+P7oFE5CIx
-nS20kp3Gcj2L/1rUodH7hZXKlaRxWXWIvebP0TcRJdOubLfD5caJ+A4CS3BwTK+O
-zFF2GDW/qpftkHDkI5fACmyQTF5pdrRDddd5isdj0R7ExrDUca8kRD0XKSVOp6AJ
-XbfvF9o1AAS7sz8DJIcy8/e5dViqneBLds+CiUbbZU4byBO/gEqGvrA8AhzmFMhe
-ZM3/tvCazAqZa6eyYAe5SAM67Q2znDKrpdLJJArGvTsWyqHdqu90LPOokOdvARW8
-FLMJlfK9pea0G28ZcKoUa0xTyPSLNgxuqqMw5skR4LBV7XsxLQXPm1bpW3UFKOuB
-X9BfpLA2+0Nca4spJk1gFAGadx2TJgKrpaR/R2K0iUknSz2m8htUcghvUUmN8sZM
-DQJeVReMrp0j5rNaUKPg7FwcC9Qh6x3aySupejMQh0W+zccvOzHpjLzSWP9FE5lv
-FOFvDA41adUqNJ6rHnyxhOsMFRxr03CC8J3nZ06jKhdSEDq1G6oJf5Em+pNYzzRf
-THtUMawn/J8jrQwjZFcE22DtZV/AjVJKK4RZ7eMPwKqVz84Fa6NMSf1zEQGxGVTs
-Xe2UEaHb3mvhCoV3evClPYzBpD9jE+cV9zK8FUCGVRT+Xr/RM5sI3PhvNxAVqtZ0
-AdFkxIb+1m9+Ak/yRm78sLuMEdFesncHecSwg5BsJnonVAFX6I5XPho/4uZ0BmP+
-Se4n/iyW/h1raYiEzACMfthg3wfg6dg8ZWYnUGrxz0H46E9GV6tBiLzT3H1QfHbF
-W3HLB4RAYzQBIYN2udxzaYRSGpWCgEMET/uGUboOiLuhxPJZ6YDylmdYdzL3bQ3R
-a5Uc7xLhKSE7gU0QIzWnqUF8u5S1xTOkL2COKWQ2prNddfZ5lOq+KG+SHCz6hs8k
-9lpD/L1EDAcDdCIc6ntPNCgxsiKNQScaZHdqtD7U7zPsB/K8/4dnos+Ip/lcGykM
-xj4um+rjBtABMGks5emNlR1MIXx6Gaerp7D+ZGensioVDeYITpGiNLH1g0mQWGND
-qSv/IdXWSbreO/EzhVyWjw3xSapfVzpQjnNqKImf7veQaOrZ2sKCvynaEr5DQl/z
-LhWSMec2J0QVMKMx+Fepi+1NaofxzN0G7OQ1JRe7xI99BnNlpq3IG7KjH8ocQ1j2
-HZLlZwJBymFQwnF/RQi5FIQmtyWAY++85TDk35AQP31DskmQat5K/wHtivJHwNnb
-pjXLWl8+ReyQiWF9y5sD2m1a3JSTqzig8c0fj/sEd8PT1J0SrxGxvdp2z04m5gab
-ZUYc8LfRiveqsXZZIuPZWKGDZDg2jsDsJp4EW3ocfKhQO0OWsfQ6pgAWMYhCwoO0
-Tb2ZpVBoAJmcpOwzP1G4oPZ6+9RigViLRmUXKHtfcX59weSmyM8P329Md74FT/9K
-UFK66pjBUyw6LvCjAScnal6Pt8IH3XCysTMxhkg09ehe4YqEj6HpAHXu77fJZrAx
-o79QoKfMt6VoEFJxXUbDIx4KbvLSlLQ7PFLGIVzfirAq2fDosNb362PxXX+OvX4F
-rn5liuLNKsKRwxYDNgcv1ivNAQRCkOyCvVZcNE32g2YrzGqPYEZKXsPCV4NCqKeS
-9xkGDXibKlsxwIqE0QCu6KT44wWb51dZRES1mmMYcSKEp7Dcx9DygiwS9Kl2RQbi
-a+1L6YIfPqjnqN0sTOefPOX9bfKKdroPOhYCiXv6ALuD0ThDFXkttdlnBgqO/zg6
-OohZNNRJpb2f2/dWP/ckPb+O7VXbZ11z2C1QEqDVWXTXiOiQNs+tBBVNt4vK5xYF
-hztS9NoGL7Xltn8Os3W7N++gnoXZPzTIbrQk4Y4FWnppsVDyfqtA1nMbaOgSx41q
-pPiG0SylyIiXtki0xtkDeH5TZlzunVxvlxZzlK4+PTzCMNtbwtvi4Zs4Xwvdjxwy
-dGoO9ym0SuqWbT/RJ3Xh8bd9w5Uc6bo0WSvQ4OaifVkS0xj3kh7iOuGWb4tyEMvG
-/+VkIl6iHt/POv22SyLWcipiK5iQjTUL2GPUWOOyx9JEZBnvXgvwirZXPdJDqrx+
-PqUoCEOEczr5ZAV3t/Sy/DnLHeQr1Iw1ks8xwd0Ok1nomlsnr/P4egI7AiQAkPWL
-ioDe9//o6gP+4zxmRyLJo2RP6ZOBlxE5CGNZqha0kvjJwoRt+41OsiAoD0NC6hxE
-cmhVkmzdRaMAorI6qEg47R67ukiADDsmCMWWf25XKFfszDMgjXJEY/cgNqy1uVpK
-AtEvFNvgLvucoxEq5ed7oVySzylMhdgYD4pcaE8JWQr0URCqJ29qLuQYmG/tbA9U
-UyHLWcPMXXECy/eO9aCoXZ80OCNbhHW0RV3Cu4FNSCIzxikKwG+KmxgHu4DTHISk
-otaFGPfjL73muYJUZHN99uhg04jMco/jr8dYF8nrAuuOkDwTVad7GV1gbrZXPoYw
-nQgUA1HuTUMJ0ph/oAuWlr26jYalx9Qkr/nupK7ZuiFGCGXEdyhVOMiSYa4HqUjA
-h150Q+iDVv7+fQtHvWdvgq0Ds3hbKzmBFEoZI7ioWwJ0IKNZ47sKoNKyB8G+YqRw
-a0GPmXo7GQXmkPJLbe7aHOdWeNRb8XL8kdVCD+7Y8HSipzFNcV7ABfySOGJoq0k2
-XDi7K1liceuBzeKpM79vm7j5b0Qare2Q2jvQ0ufu+yocq09qjtlR37LQGagcrsiY
-Agd+DE6Sk+aXg/rAfYXS3ET34s7czyEMjYEc5PdlELiWj4A6I1vKYURvuUWIiZTd
-HsviHdgd+SV2UWotcf2T5yMp0gmAWyzIYKkhQc61aPXKYta2A8kPP6dXMfvL0MQV
-HBoZYJiw+v741P6KcHOtGW1WNSROnFAkpmHqGNSmVSW8x8d9nu2fKoMtQbdyt3lb
-3mZS1GJRmWG+1nifWvZZuAw190RQRe7971/uXCZtjjgpw8uuBmj8+5C8ZM4CJMeo
-LdCn4R4w8bMtdRQzi2jryhUdYLHSvdall441NB6gCoON4v6ycBevgvqTvOolu9Zq
-P6rijNBxsDZGoQ7aSGmdiJ1aDJ5/Astr/JnRPJwCsFCrp3V7HkQ8/gQtowJTmN4y
-KHDZoNkxggQU/FWPmBkBCQPnYDZ9aKuVJqIJgwjd2KOHNKEWY1F+egiFgxTKtlxf
-34lNjD75VSdLuTtPO+ACUioGpo50pFT1wnmjjLJ3/f7KW04CvYquw+7HPYh7oIUX
-eopBCfmYKxWHje5Np7IMK8MA1xkBVzdmAZUR75sKHeRS3SQtclla6pcqjUvdPX66
-9va8kdQPfCBhPgoYmD0fkPmWxhZ0/xbDBmS6sjkR0+XPmKfNAgy/AycKKqXKxgZH
-IHd3JHiv2E42mp+mPsYOnm92yEFYUzp604+tzMJPUFvEPiiOGgmiHlfiiOFvsyqs
-FtQsc0723ERTjIl3ALoob76G0Mmxqef31vd436duw8rBjQ5FFLhl0x/KqGT4sMfa
-Y34csffxONK1NKHfIUHrX6xPDgWfIt/5k5AqIYNWm3EcPflsgQ2o4PbW+C9W2gE2
-ZEF5t5OWnoHwziKLjERTRWjX1ezZdSwHOxMsb/buC1P+0Ybsv8ebBYefhQb1DKoC
-2d93+hqmMJSUlqWjxMrFF2nq6IWAO9Y7Eu2RUMdauHk+CCGNP5+JSetaroSnKUXQ
-FhvU33cuqObLltBd5fRPQ/H+JYEfTKc2xnUhOoyB11nipiAVAx2tYa2RvKuSBiJV
-UUMRMe6TeOUlwIbMSiLK1cLEBav8Bj6FaHORsbCv/psS8wHXc0oOudQc+DE0bYkq
-WM2b+I09Kp44s4PaXiSipFALmnNuX21rH35gchjmOUHvlODqJNLxwENY5+Jkgfmx
-HCzL5TA3ANwzUsTZULcfQXQutfdABGbu2ofywrZBkMIK5VvHfTmi0u4ocLQ1mylc
-Cm6tRegP3KBMZMaZeRx1lBQZEo2fwpNwY78uTllhRO98uX3kDskzw9kiOM0oH/pp
-sOduGE8VNETRgpeO07g8DFJ0nFGqAbzQeDBqcQvl5/LioBIC5UlBQBdLog+z3mxR
-NwKasM60NIfh7GSYt4ddLjrePzTLMkN9W7jxZPcY7xOhWjMfP52r/nOs/Y7+4cQI
-r2P6ZVjdLmWBZPvsDypgXvVH0+3DqJtjMabAHx1bdrK646qCf9e71FtbZMiR45GY
-dJBZcdBl20VjeepY/XKup4+AmeMcdk6BrmBiKIOnvzcFd/mBlV+jd6IQMwI0gN/k
-GCASs5Y7djFkx+EHx6nOE2u5AayYnlFOXtm0rWR9etUx0nxpqtRTTLM/+mVwqq0m
-fkb3xJ2Ozgy+vkFAc9YaNJ4UexFyhIONTX/Vs3TKZFnGAs/71cS/9wOpzFNdyVd1
-NlmIDk7DEpkoUgd/Ei+l0dg6a9CJBcUzvoM7sdtvKSSPWrDQnQquQTcr7G6qOfs7
-yTHkio0qQ5N/pgo2+4U4QICOoBuoZrOdIgEultW1qJbdsh+57fWeTqFHScsz6tIw
-WiwmEmMp1FeiTqyRWG/Q23rHrH0LPLqc9mXh7V40fuj31P5fDq/lK04RbhDqGTs8
-7srxmbXHZTDg/WmQKHUT7RTLVeA41be3iBHOJPO/u4HLh/n+ovpJyPoIOlr5pWYj
-wjL/jc3iRhlRInuUShjpkQzRZ5x0qP/8Wu4y/cwctuNKbBG5lmjxMLKepCXHON+D
-dKXO530flGVU9LxN1/0vYRKy+ZQ43ZhQRLykOvsUQsBtU4RFTLRK0vPEXNU729cA
-MKzvyQ+Oz/7gQzh0i5CLxVFDaskvLlyMJBNEhZDut8VvaESWOusYXRVK/lbzMcpn
-RT3Iu/oV9LIl2ntyNDdfTFM5/8e7foMdDeoles1IBRzJkefY6hh6NlvrEVFYrfk1
-19Fh3V+O6VOsQx3NWZ5w5ZC7G8004DTeeYcHW5cDtLgoMsdiNK4SB0IVYg7y1bo+
-nTV4CjJ8wyE56A0tQIgyAMqH4AP4V59e7L85gRIIGRoIPBUjHf6c+xS2G+xqN+/g
-CtAnRzJNMzmJEy3aG1kCcpZ6Qjf+n6sBDGzsfJtg+TKKK+c1+v7Zf8xwS2GvsfIF
-FoD50Pz2mmOun1UIhTiXQdC56eDRDooPXsUJpcn5DA346MP120o9EjW3ttk0APn8
-EvFY5QgwJkm7tK3ZjubBfAG16jzFcGd4lVFL19W1SjSGm53A0FqbK/9a9kzW+BjG
-N9dRY8brD38edhn0vww8tWkxOliCr72I9ULbzEFM0oaZgl5m/a5So7e5OGTcV5xe
-c8of9ditKXU4cSnac1qXju2Kqk3mjhDk54quYVwXA8upmr6zpjqgjcSZSTZQq48D
-hkwmSOKGe9/Qpt2CS4RNsZ+nIvRHBVmshlDzYTh7Nm6JJCb0cwb7602oR1n7yq+e
-QC9Z6R8XIG8kpRPJ5R6UxmVUa9EX15Ll+oMLWcqbEY3M0qIfWdwTq7Tkqb2NuvPg
-ykA0iVW59X8V22ITAfmJw3Ws4rjyBONa9mJI8LcriX3LD8y6h99VqXBJ4oh2BFrG
-0G+Rnh5SL0jfcpkEL9p3XdkQaMdq3IDhQYPw5a+mAd6TVdqqcXM9uJv4erLBTOtN
-ApXd2FD4RgMfq55hhiS4UncE939HW17gekXWc2nvGJdGYIpti1kji5LsWqbMHuLA
-3an+2FtSP5yDEq2v3Hn0EmYjyRW4HFPyVHuqkSIb9pZ3MNP2Puy/AX+CePXbA5Kb
-DIo8+zx9vLREvkEt94GbQFde/vrFqbqFjc3Nzwjrg6SEMT5geNjxubuVm/s+V9Cf
-l96XfDQ90YUQd+oXIst5gx8FmAm6CB5iybIHbR4MOqhtr9AEujloTfTCYXpHRs1G
-GDnvvhQJ1pyWswjQotvW7Y5PCgSkIJbO0F8J8T7OCgymBv8tdPcKNXdRNUMOefJt
-otvpcca2MO7yYJK8rITlUkqwninsU3OPH7SJAIxa4/fW1LkMXuCUQtt80XSIbsuD
-i9B9lGp6N6ieSpXLQw1yTu4c5lloH/8rmePNMXrrbeAdaPLimk3pmOGE/0Tpt2YU
-250lZTuDJrRb80xQTlQ/EfI2HwbVq5fMFGAswv7BuKFez/otYVvbocaS+etp9msM
-mMQm1LVAu6n7RmmoueWpZ9pkzSmAhXMNEN9+jkrq7Q/fW84Zb2VmSmP/GjYr0JjA
-IMsEuCIJJHewPM+EtP/FWUpSfqi46LDgZdu+fD/fFivXUsBk9d4jQAQMkXBxTXdA
-YsXEdIpI+TBsMyMnotoUGEoyyrpT2x+o3vNd9HjrCdhip0FD0luwphdejTp1w0MF
-d5mM+pXA2ZGXh8/6iKR/b0k+1zJ3bRPnISlcOvnKqtXkWm0Df/aRIaGknMFSgUF0
-pwNdo8bnoWCkEr5HoFjWRYqr8TR+hBQi2aTa9SeQCaRrrF3zv4+cKsANnadSt6yT
-RPwQwbwwtpXlo32Ia3Sak+l3KENpWRG6JClu2o7FHsk8a8IU+O6+G5Dxhh4YKCoZ
-aGLFXu3d9TMiE4jhHCk/z8Qu1PAhMFb7e1mIK7xhWwBr7L2OsjHxLhX0Zv04MvDE
-BGulKuwRKmeowb2HPwaUHwXN9Oo4e1spNcBf8CpyWjrr7PduHqff4eo2CsgOB326
-U+EG9qq5WHbHSG0ySSeCOW3v+z7SwM/hxZIxvIjEkN9Ui1OAsiq/zp95JVJhJEWP
-STTRzKubn25PaQcE06wGautT751A3KxzkhNcrsCBHrzKitf0sK9yAezeJ0V2MQ0l
-d1XMQc2zBfMp81yonUVMIvM6eFfU6dOeB3JcfryxEzwqkBbi7iitUHbvZyOKvtAJ
-VFDxb3rHUIrWblctfZ7xN+vyvjT1h+HbY0SwvlY32wOMFoC4t0qJXezQ4QD1rhLo
-WgVU0KOXb3a9+YaybVRr+xrBiUy/gGT0pKIYwFzCaZ4zJURH7Fr/tUumd++egZ2P
-uPJmwQCyAQWSSnpzvN1MZ8gGtzqDgpIfoslF6+QgIdA6bKBCTim2YKiFXJpcqMl/
-5b8aTbhAT/mJMhrfa3z/T0CT+Y6PB4utjcBDFiiEQcHbVFu0Wxz0vSWfS4sZ54gr
-6TO+sEoTCYecl+tPSNY9YyODJKwtiFPlDKY5pft18bURXHXmSAANjTutm+WVXAmR
-6fXnmImK8pbH9IGLSyUOAbVSdccKC337zeh7B9LfSHAqOFINqGAFF/B+Ny+FfdL1
-4M51UbJtON+MYuQJ5VbAaxNeJd/1PcVqUlLmKP1Txbjq6OZjZW9eXx0XKPlEP37w
-Pj88UXuylqbs0QIYR7VCNXf+ICCLI77ltu2oAqSwtmxoTLWdU7gBj7cnZStw2grB
-49cVXfYu/yVrUwfBWVlqv/v3aCwr2FEzwnoOHJ/49GWOzqheCZEEyQ1Jh3XBB1HA
-NIc9O/AYWF8sCA50T5BPlIu0iirlg/UFWIwnfiwrW2wG2mLbu0lRktGkeqEZTto3
-rQ846iWKYJJ7P2GZYQXGwGykJGR7dHIb+FY6ISBQ7I4sy8jnOvHOkj1wu49mED/A
-FXDPs32iKBHs4tbcaTjXlomu1JO4Mzrne3VbOuyYYJQyyenFS84G4OLiEB3XnZCB
-5a69BidB9xxpGwC5a8l9TrrBKk1iek3aPTsuVX41wL46+KioVXsGjplehkAtRUQ/
-IkIQB3wVPWBMcRDXVfq7RNWcJqRLgyd2zJp9/fVYbtqiFWVmXI57P8iMit2+wwWT
-lfNsKmV0VCLhBDjmy58R4EZ1dNrdJfRymbI1pQSRPUp5YvGTpTgx+EI3wF2bVzIa
-PujKEiLRhqGBtxmQaKVXCsAolF8s7O9qjdfwaL9QBvtZ+Jvw2J+jPO/Xh2qPCuNm
-chUbg8QOhgHNDOI0Co385vMkU1uomc9YM9D24XZqVDXH5vMRmCXsls2DRb+y7gWh
-8cAJUmQnTIB3i8fo3DSlxE/zCtM8pLby9Y3FShDL05IiGVETiHEyTx+t46jneecb
-jeFOfLgmp7eYXj79LaIOSHt6gqPuEvKK49t71m8BrT2Op5HaXN9tyh6MDCJsL39t
-B11htVB662WWkb8Ys/rf5kaNMGZWtxiFaw2kNR1W+ZIfXfcgkfI3SU26QVm7OxB/
-IoVoO0SSxZxra/WNs5fPpgJatkamk1vV3rDsPNrkWUmbToaV7yopMKNJjLpa8Nod
-NPxvDbr1O2necIfkhObgc2w47L4YQ8CJBZzCweNnD+CAbWFF8bynRq66mNo6w7GL
-BdmjqvhQb9aZlmNTfVrPNDfFabZj1cFZdiUGb7cFrwprwDYRL2DiHmQudx0kD2Gd
-uBlupteoF1tQGhYowb9mteyXsxU7GENIUFK46fNEXLTzo7Cosa+xAgK56S9KOncS
-m2t5z8ZLiuZSZcFQhj9O6znLVD+QMM1xtVp+Tc43thMrIzgibmMfvWS/q09b/TRi
-xdYvV47c9yL5IjirdQdsQFEGU6qyKZOUMwN3VaaXk+ZkOPVzn7k5nMQyf3oz56LI
-pgA96/9EpbFF5MEXb4xGttK7Hn2HQuEYMTBly78WSuxzo7SgQAUV3YJ0D0r4W3cb
-Cyboj7KzwtGbc7GWQt+cq5Vq+hb9SwpZNeU3RIqI0VlMBoN/oXusjmn2NIT7hgaU
-qDQKeExxH/PPkeU8EoMVz+7nqJsaE0kbkmOuOIIo4ZT1m5zXIly/3lSkj5kuK7uC
-g2hxn71XTd53UsLLYQ2AbdEfNMRhecTX7L+GSAWDqbLRb8PLGU73N93qa06fLxOd
-rRIxUwKGDsUy4LZJHHWPPxaDNSZOYbYPm2llOyz7Odw23X7aisci2OSZHnlIjSe+
-Gm5hcVoT44XzbogX4jd9Sb9bmA5XwJTEjiA7yl4dOsEhdDGNB5ppZKc+yQI0DCFx
-gFGNMhX0WfsYNb3jerPuBWeyecKpkrywkX+0tGg4vjM=
-//pragma protect end_data_block
-//pragma protect digest_block
-cDNWrz0g8PT76yRE3El2wd0RGPs=
-//pragma protect end_digest_block
-//pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer.sv
deleted file mode 100644
index ccf4245d1047af85e40dd0f4c369524ad61f4721..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer.sv
+++ /dev/null
@@ -1,566 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-//pragma protect begin_protected
-//pragma protect key_keyowner=Cadence Design Systems.
-//pragma protect key_keyname=CDS_KEY
-//pragma protect key_method=RC5
-//pragma protect key_block
-u8Y8RIsMa8bTaUNL/lOaGv4JyyCunGlh2mLWqab8DjBY2Q+S1Kd7J7QvgyY/vp81
-EPPiQWEZllSe09Wo/c43JtsKs2IFyLugITtb+4zSMiOBD3InwcIsEm8QHpIm3HrP
-mZKGNxA6rLl6z6OsE5WCFXoFI16dfn7WscVpmGZUS3DVBsOcf4zJqg==
-//pragma protect end_key_block
-//pragma protect digest_block
-kNqcrUC2ygnJRvkTj6BEuLebCDA=
-//pragma protect end_digest_block
-//pragma protect data_block
-Ao6KFgSnVt05eDTBhc36xS02AXgaWnpGAza7Uh7shkgm3wavZVq8Jr7LY9AdIBiT
-bf0qAmg4NeTUDtdCjl3iBoIugxPDKrES6SOsJJpA5VgHa+TGkqmy6jGjJISp06HX
-YOyf/ZoJJ4nASliqkETi4xlEeadR0ftjqahgD5jQUzS5pd6LnV2ZEhoLjBZG2+is
-/hihpARzFWxBa74knFfP/YV/5lf/Sea1knSCALHUG9v4Zo9DHeOKEJZgeAMFGcJJ
-Nol7sTh7yfLMgYdaVAft5EL6V8JSca8OeSAQqfdaCYiupQnAdl/rypmURlwlCBjH
-6C91UAqFD6HG1Ea/1ukXsKrxc7p1WgBgOME1+r+LiXkYHyKsoexBKfwo0V6XcnmI
-+LJvvSOBLokY+0+/iXHjvtWYkNbPk2IvoeiEvTPY7MklRDwQklOClOGjTSAy/yhx
-A0ek1oWBlCWaJ3n5e9gqTLeOeIPW5tN9a7LGeH2lURquYqYNUi8oI1DstXoZA6gf
-jRGjj37sCaZPhYh69VFitgXv3gTcgc770tXr2M8/lHNVzDETn3LAdAmwtCUsxkGf
-9Lhac2ISbhzDwFxH/r+Axy7/JBYkfTBMsDMejQg5KiqcL/70t+FlfQ7Q7sHbL5md
-HkjtWPXK97dDKCV4/LPyHvywI25C1Q5ifAVE39x5FcMqdJ890r7hP3rcH38tGgZ0
-jjy+8/rfPOQG46ZWssRDgUklXSvqWY/Sxv0ekeItWtnEJiU5CLqiD54RaUPEOLs0
-M7m82wUod+9mAYzkIgRqS8CZD8hu/7IJQNWkZFYOfnkCIJqt9euMAVmH8E76dXHJ
-lWNYOb9ZGUoUjyy6RM1CccyBiihjKDuPwdpXBsLL/9a3J00D2HdADGEOHHqT4OUU
-IRI60Si0sij7Pmr/Ze7AkyR0fgFmiBsyxJCqnZpo6X7LWkssj2uzccpNR8iEijgF
-3A4OgBbx8Va7qC1T38jXOtBbzoxuwuUkV+OnNuhi+3lf9mTFh5HdH4XZ4y84zE4O
-8jdqaXD+Dxl81aFTKZSHz+kWDMP4YnP62QRZW53notxUofGlTT6Z7TaW8skS69Bm
-EobKlbtFP+YHGJ7QUjYkdqUc/xbZx2h8UayjpGglY7csiMSWfhxnqdL7WystjLXg
-AiHLDFXIbe/NPrejDdDdvI3up2nzm79WdzfZFNi4xaLhd5cepiD9gqUs7aVK6344
-sxRf7iveV5KvjwhaI2gx44H8oN28TbmMqlymiy4adoDOalXwXl4WCJ2FOsJi6jfG
-pVZzkZJOi6ikQTtpGrwDinjotKs05/VR5g9niCMU/fqfqp8ENhYshhC/hf8rlhxi
-qb++3a42R3wr5S928XuW5NCiA6gLQHp5wtwcUW4phMiIpqxdOBtjoTUpoE2x8KS4
-YTdElFVD/CKPRnk6/nFaBhcXxwIxnbC5Zp52AMS7/Vwusq2UPHEJSASv+T7AGrlK
-i7GT4lJIz230xo++FqswIHWP12oOuWEFcMdXuo7U90QV+rKihyV6VXV5o5TgFfGy
-I3k7UF1RPdWArnP6Qsvxb6eaVqu3HglpYoONs7th2hGND/3NYcQj3va+CE/YMG7D
-zDrmJPWUDf8S9WNGSRUwr45WGXqkHpi3C49uV2QEAVv+yC3NYqIqbGkiwL52P1HE
-CVg93ePzkdf22BSoMbfiLsoc+W35thMd3+ux+Q/ia+3ZVcD0/CbIUGQvR2afb9Mw
-S9Zf+Ii/I318gG8E5jjbPf/UEdwT3GYJfyWhvNoAle7+wb7x0MbDZsNHk740UJmr
-QdQTrapN9ZaFrVByl2+pXa/NirN9kgkcddo6Y25sHKQFWlZM+hxVNLgD+0p1uBT9
-lK/hQ75tBW9Zmz049WY+sI6hZc54n9+crKxNZow5jgTBJrwaeK0f/K36NLl48QWX
-oJlYkrXe/uYOXfOPTaNMPHMQAEif28aVdS3czQAQCEG07TEeOnhnVRu6HvbtWXUk
-V+bl3WlO/3FgnZMDXRIA8FSAWZpnbGmwPJkgcjXwXTenBAv5KSNEQYHzlF+7XumW
-q8WKTFntviuy47YAmiNJ1FU78y4aPBX6RIgAAr08RPDgIWPUYXUTBWobvOVuQ6SP
-4UknLuzHEJ6+piViceWfHqJ1L5sgrk4By7d7U0if/uMlvWO9p+yeyFItP2QUaO7Z
-dwF5P5XZNKAXNN966pleQHnwyasWcFGWp7TvfGdOPx9KNGR2SVBxqLclLk0N1sV+
-O0CcCYV6XR6jOSoeavLrQl/v+r8aZMY7S709WwTaTPm9Qf0eAYe4B/NX+0M7CzHP
-3+u/+i94sJAHwc2ohjI+fHcWg8jtCn8BwfjKzx7pONBx/yR+NedcBV/ZFgDtCuHG
-av+fYboX1I6tPq4cx/YWeFHK1VPrWD9c2mHfyxiABFKTukkW0iwMZeD4LlrXfPsg
-XTM/j9sBa06O7E7GAPP0VNySGdl5gphXfTfnwIGIf2zhIs4r2hBmSM0gGtI5L2AR
-4hzaWs5wmW4mBAIFvJlZMGx/uxBf63aH3kUA7HtmkUK9iNtlvWLRM6DG4PKE29wi
-R+W1gsMdblnq7DMA1zBgKIaw5bW9lAlxbZVFBkMFNpigs4BytwmzRTcxXxVPQnU7
-SwxGym+MjlANqGqHZ1X4kmRxSa/uDVmXFYudmTxcIHIslPt0msHYkLDM0+eb2/Wu
-+mLO2GX5lrnEt1HfgS55Zpg6cXy+M+tEfHkhUyXTKExsRkwiDC5YYqpNKTpHU8Tl
-vshdJlH3ZlP9vLfG5RofhPMS6DUlsfYFvGkkstxN/Bkq/SAl1fFRLy5LBZ+6vres
-8aos+6OzT7p+PCxjouJX7sOFHze1eczf3Pwgkaa/nvnhDT+rycA4LpXIl+p/1Hq7
-zTUk2pMuuGs8Z1heE2niwVMzpvHe3t+xzwSwxss5xuySvCg+BCyDtvQK5LtuXckk
-qoBDtzKZjVLz8h8r8B4kv1xh7l74mP4f1lE9UbNbNJrJMda9LbY9+XIK6eR4Z2oc
-knVI+67sz+r9VAepaHDlxbhTZIhj07clC8AaQiuw0RI3iDy8ncL5xT4Lw3/7vZST
-uWfd9qTvynZsqo0YzCTLxObj6Qru822qmnYNCLVPDLlyHTJRrUAgqgL97OE5K+2/
-2CuLr1UjKItYgtr5EOGjMcA0MbFR6utRIGuaJ4cRlv4who2DcyU6KG6Ov4Sl5XH4
-Qtnt0vAn3PJnx4hWKNJfBBOM7nvbrYmaa/igBc8lV4Q64Y76MCNBzT8LkVp/gBgl
-oOodiCA696U1fmrk1I5ot9wYfYWJYuCcoVyretZGWcd/RQcUhERV/OsTBxa1VLmO
-SREWnbW/NlH+ar69kYz2zKw2g41jXOmwXUcnudA2UyfdaXYA2cA7p0nHoOwQ8g04
-eXW1Mkj4HN7k8OyXgKcSPvlpy8YHdHm8y3z5/se7QT1RLLeF9FicnA4+VGHcI29U
-0qt8L5k+iVRKR2gF8DXJ06DTazc9a9B7/RT4yXL/Nw8pXR0FWBYpLtR6qsfvoeCP
-yiMTYmrXA5ULEdhlgPxox2R/z6Hxxg+3EJtnV/fX8U52cBL8FaaS+2YidjrT6KXw
-sXA0QRYzHSUZJRvyqS16qMAeysRlKnFUTcxqvRZ9Pg1/VVrduptu9KCOIUBfG2bY
-XoIv2V3uXlVCiY7G5qMqR0AGmpVzJgg3xP28cJYoEtr+A/Xx6IaTL9to0NERHQ/W
-CP7RSPttw15/4HoKUl+S1ofmtBnjlZN0Lekl2+LPfiCbiGQChLHG3YnWGFXgTdxk
-evdN5kYpE0TP1871EJEiBBbQEFwjBKtt0dT2hdY/QkzWZsdHeXeuhQ7JHDkn3SZ4
-v8GlBb2dvpx5kyuX8t6rigDRX5EhOUz+SInstYU/9JuLvlmeCX169hSRotezxL1e
-474oC7WEykA35UCuflGhFNsdAU0SCL035FrFVqCxZQPUPlx/WM/PYtd+qB5YDRUO
-erJMHz7BOBkD3XAatxR0t9vWfiegpX1NyQbIBgU4sUFWUBzOzgeIJkdyR5VIU7Rd
-hui73L9uoYe8ccAAymqPLWNE+d3njAxboOq6KxVsnAqyaHIlkoxQdr3mJkNYnKlK
-T8B/Dor42EX2ActJICX/OXBV73inOY9MmJ1Q3v0G6VbpugR+f5Kh5AeifFeOjn58
-/+lBYzQgW3yPBo0TzjKvEMlv8gdIF3Suu/yW1mVQMC3W2Wki9QndyjUGoi4OdTBr
-UbhEtkQeAACPQvL+7mlNFUnVcGNEBDOMmoU9PGxtnCbvqk/x5DByurS/HojiWvZ7
-jamOrn6JqYFzz1n74/Urf/GlxZULThqM2uzn8Ifyir86TlEqB/gCjVDwrBEM6iQZ
-tAr1LNZryOlD9PWp5+MI8WuesuByVIKvpC6N4fpss5iArW2VGX3qgpOYlRmtIdj9
-kHuwsiAWBrZ8TSwIgmPmLsJLVaySQPhq0Op04Tr3tIK2f4URQuT1TBgVimGyKNi1
-OZgTX2BONYznWA1yeVc0qBkzTSM0VTwnguEj/O6PwvzsViCJc0IxUG5CgxF24S+2
-T1xEI3lP3ekTSVyVY4k0x7iXdJXRK7bp5vPE3cTtiYnXzqC7IIMogYDkdLmBzXhi
-CWcjjjryvEaPt9oIPJOn8okdSOTk8PME9CpnmOHBkvI53lWy2y3LGl9lR/MTrPP2
-bzO0se73IxhLVCFBHuyXqgupIRUX2IHiZz+/kURSDB0w+HisnapVjZH14fOeS8zc
-PqbW8tp8VaPg5eY0lLYGULtx66lhpMGOXN8Q6F90lxaHfH6FoobG2YnQYxx9qeAK
-uihSyoNdDdgVa0LaGaXQ+W3esyzpFe6eESJjS1cpzXBmhgoP/C1bDISTjIGhpwj5
-SE30GGgCUoUGwTAIaZn067NCJQGwKRlBrbGLRQvlJxkNYplmD+Iej5NPtgVMYwzh
-3la36Te/puMoOiEkAnlrhTbCTe/2UABqOpQvsNTs68yX9pXCKoMi4L7Yobu8DAOD
-0llXJbTLaPPgCQ0kNbl3LfPMf/xDWIIbB1RhBDzlD+0zoLu1YleJ7Vpo6Pm2D/GG
-jn+/betT7AqbfXElXYqoqjXnOCF+zPfjWPtRe2lQ7MrucxpqIS/2Wo27BVyNnIxc
-dhCA435qCekCyDTPi13WeWvY4U2BNhU6z3CSfg9lgaY4t0SLeeGgVEBCD9Vfk3wX
-zFWEZ/ZtoNp+83ElR3IM0owwSpGRAk/vlfauH7THp08kFmH5DqGE0Pq1eI28WwO9
-UnVM8W/7qOWgWSVQdSL8WsNfdZNROXLowf+ToHJhtz6hNeRAqXlFdfdASQIMZoVS
-rWTo5TW5/Xtp9w3TnD0DfTrllN/Y1KEool+B2sH0iF0vPzNV+4FgK7WOPKFn7mfE
-l5WceQXaak39IrSU/Uz4eZ1ySF2h2DtdR3J4SV3h/YwWs3Z8qTPi/WQmVhebK/dA
-suXLfTbscFAh1BwHeqcXTzvNnVMrn/r/my5q0Qe692kI/6C5+3JirQaQib+Ex+2s
-/9i4rSw5tEeIjWZJns/iqtQCJ96Rioxb06rpCNyRpRqyrGd9roqPkvC7vbIU73k1
-m4GCMCj+Ll0oCu6xE7Zx3xcYbkY5tQI+wba+5DIT8u7rCzOwpc9VLStr+aBfzje/
-1idXXxJ+gI70U5LwmSBlrBBWZxcxRqbmyAjdxDu4jxJmY9qqIUaQgiPXmSAzxE0M
-6SvhFaCej+kWCF/9IPmthNy4asFuggV+3JZFo08hO3ZBrfYL83zHRWE5yLMTJebz
-c9syVVLOoxqlE44Ihbf9WHeQCzMvJUBg3Isk9zZt3tGX3RRSmYe8sygetwJXRPoj
-I41RuSAH5ch+8qoS1gO5TzBeS3JcEE9u8MxMWLKzSnK6bM80I4zjypdl4/2OpnDX
-BgscmXALxa6tomZsblf1+2PJQsXL+797WnBZOLXyMvbc9p7yxK/jTRlD5HcjHi9o
-VXH2nUfc9fYFQquG8zpZ+2oCYvKkx0qDOsCU77nmgRUV5uDGSWTpAX182a+91OFs
-GNJy2zN9oXBWGi3qTkzAGPxQw+1J6o4+1QUOioXbZXHcA7sYff3h+Ltdf9xbCMfi
-CBZPPEjcFvmarjq/XCbBC5kESNAx/rLh6qlDczCEtL8nAsq4POT1CdWYyI9mbEA8
-a2demyFn4cek/SfhEjuBw4tC65K+2q/GlexF955X3/IiDUEeTqk9czHcydjKbqcx
-adAgy30m+NlWrlJspLaL3diZyg92fNkO0alNiCZ1vxGb95FNO3JkT6wMkzG9ymxI
-qZfwRvlmHpTNzFwmO3sO0z1Y02pGJMFhUeRm6ZnbGh5Tw+THB5rbNCLr2vakY68x
-7T8W2x+AdSSrpN8zQfUAiDPlI0yFjEbhHsB+nZSlpXGyaWeGiCNv+M1AbNhIRNJB
-xGGbRKpcEdFib9QjkJvWehuszQQh+LEaQKwEnhSYMEr9+GJWGbco1B9EvPHMglAT
-rNrJ21ggWafoYQPawFAcRMus+Vtp8pk4o/mBzBWBl7YcQFYos7j1gz4386iSUm80
-de3CJDoA8N5Xa9+9hlugTdg1cX4LSEN4Mjb2+kJ9Zw0ogZ98Wzjh/N+v3X2P8fqX
-7CBA86fzBz6xSM6pEfWVelyvd/ogr36maYcOEaea/ohFYoOEaiYxXVdAZCY3i6hZ
-qLipbftvlQKMxAWoKLy3Jb8kze0k12VcQvTF3iV/IUvA/aJjlHxkH5nPaeeC9L1D
-OHaYFXCQZ95D3LOwc2OOVRI34mbkIlG8coeX88jG4EPhSOonWjuT3a8Tg87FLaux
-fHPJ3s7R60x1VSC8bN5f26YXI1UMnVPYY+wVE6z+azHWZ+jCT9ZsOWrEDnzyABdK
-zBSp/ZtTGRXX6NdBukrfdzq4YeUXYevi0jr2NZKiAM5ctVRxehk2GfvOYFYOeeD1
-v94nipOImzlbUoVPv8xo3urbtaOnuuFjl0WKGnr5H8BpagKRAczGLx8NM1Z6mAMa
-Ou03nqmd9b5Z201N7go+fCwXhGzmodutCUTB+uZh/mYVgcOdrvP1zb3X7IXSImrE
-+KAEWhPfgjTMqrfukzXMZoDmjCjytgE+jwheoW+3dY9QQg0RBp2zLs76aXoO7WvQ
-8L9wHPLjMoWMXb0nHDsJUw7X8WpY4v6Fs2qMLe04ZVd46cImRPv/cqzSC3QU8Q8k
-67ffk/2PAuWIuvf9zzoNz3T/Mi6AaUWLBtPYpKBUP792Nx3YHdVFn8Xqtgjv/MPz
-WItFrjsBm9i3xB3ow1J2cvyk/Z2jFXj0HMyB8JEivp5T1awyp0gamtoKZ/x00drL
-uZvWgwTdsJPeNSa9hQO2UyaeGAwg/v98ClSX+pFazsXzDdBrhXKaYA4F/paH2DPD
-7J3SBuW4vSQgaDK2XO+HJAYjb1lYkDfALes+Hfv4x7e1c1cMQ+WnozSJ/8zEh3us
-2vmwsKpxnLDe5SGaXvMFTdj14mpKKJ4bRvFNBz8l2qcZDbDRKisqih7NrSmhFC32
-wJhYaun1qe8ybu/R1oFi7SL+omrKxpr2JbH9EiBJ3gldTza/WmkA7og8u4gzjWlX
-9qzco59VTois6CMCrPAUCTaPwmiUx3orZEwGSKjLkibQdFiF4/FHbVto9VGbSFjX
-eLeNHaeNzdXXJbPyMOv8ZMgqVTiQ4k2J7tY9BmeWn/6a4sWhE3XKH9t6Ven4/DK3
-+CioQgEwZE/YgLEWTDbDs8honzY9HOlZZWA7i7X3ugyULdMwJO7Ah+GSkbLxaQQ0
-jlzDPGkYWbqLZSgkHwu2yy9StQOdl9OYmbknjeriqIekKQlbPEyrTRx4Qj0dy55Q
-Y/l5vqeVBi27V4fe9IEMEgoE8HSSoXZw+G+8nimdXtC0dHBViUM+Wmcw+Kzka1uo
-o558UIbuyNfO3485k3ALYZRi1QTMfg5htpoVInhjD306dl66DolZD3hRSGYt24PK
-gNRwJH/ZMl2iob5kQPLcPY6wB8DZoZu7sLVtTCDTg23It0i2wpO2GozL7okKmHtC
-ND7IvrIxd8cw2El9lheG/TMTXIsxzikmj5N0Gtpc0HdckLGTU1CPybPW0xaPG2Cz
-Bra/xUd0MNosa5G6BbulI4JdSWhOW1W9A07M5u5GzkqLn93FILaqL6CBpVlqV2f2
-XYdm3ZGob4qfkBQavfxaRUU9XErg0lUC/927CA/RxxLvtmf8xr15Mvw09J0PUqS2
-AjGzdivhSObyqjlTHrL0QtTVsfvZMiF64BRFRiSL8Ak2oRp1Px0zMtQuf4ly7wZA
-HGEmVHUwMJHpq71+1q5Xw9ztom6HDucaYRcII+YL2RA3VrPWGxpq7SjCVNatMZgD
-r1f8j8wcOCyxuzC7LzElbz1xMD/QRrTPjTVoTdDRDyH2No6pzbE6AOybveGiIuE2
-TVsqghB2NGfAUlnrgQAm7vevCNCxdEA75VV+Epc/lEi83w9omJRsF10hIMneBPzl
-9gBThh23HGlq/9FeThBwKDaQ5ExuVxi/71B6Yr1BWx7zqDzqZI4wTijohUcGIExo
-188anw7yxpIyiu+vuyGeosUOQ9tB5TQlO0uwPH/NoFxh5y8635I82TahWqYPHRc/
-ElEJ07Jn/Un48dgBjKx8q1KWfPgyTfEDSNw0Wcry9vi72RYap9ssRysW6TWAvAG8
-BejtWK3l9ECun2G9DQvviwUOr7XxOqijbl1ayXcR+6XbncW/dodfLYTrZAzCOCVf
-TgaNuMMm4hcfAwYohIk3n/Capkr43dHUK52dcTXs9AIkzVrxHtK2vZ76nf2NpKPf
-FfVSYQC9ak+ryHfqDv8FREdO1+xIgVKzEpVkhhmFs8dPviZYzdY9oQ8oQDo9nhx7
-wQL0+jt76Op8ZJSFACWp9bVVHstlxtCwJnzU9Dc0xnuE77bZ8nWgwr/C58yynaar
-vIBr1w4gNpvoBXMtrqgVFgA2GFahG/FBRmJmBeumxk3Ah4KuHIhg3AnwPd+sFnMY
-xuIrTZ/PY76uupGRDLDiTWP3pE+U8d6Cvgl9CdoHm1PHsHpzo+9ZuweXLN4YM71I
-QV9VnujOZ/x5iuQEwVdc0gd6PVkYsNzV05deBh/Y/skPIkwCWgTcTQqYl4xKca0E
-MatQ7chSw9JupJGpb2JmAyRhHRLEU2Bidnpu4TqOB3acCh2OqmNr7Q4JGy9/EUy5
-nz4Tq3lqReZegCHM2lSalNDYObYtJIayEFYgStx9gHS6saGKprMeafuXZEq1Buzj
-e47FV7ZcZtTBSxXR0CDpNKa6kpT69G3wj5eZokkYu6pdowsEZ6vcBTSF6c52ux4N
-LCTGM/+2MqafA3HjTJHACWF4P8SkHqchhdSaXnlcI87O1Mw7wWbufKuyZfzhPyJa
-CxJFbIURZU5SZDweP9PMro4R8SurRYK/lYKOaqKCG0g9LRn4NbpuKmbzvWP6SwsC
-f5dcj8fVhCgYXecPkHmTDPPnqFbZ7CyEGjeq7o+nvBtSdLuiqMX805tCSXsniYp1
-qxqWLADRr4D2mM9GqSJJKr9h/VHjyPAXyqAyzollPSnk6hDesF7rRThRwmJtMbvI
-e3OA+3i+S7zA9SYmF5ffgVnmQz5THraL6oIDXcNKkv/8uCGo3IJeqy6lUfhl2Lca
-CplJtCmH+RBm4bzg4MQcH46H6PBjrCM8lQGaCuuDul33IZapwghbcR1Z9B702CNo
-HWvYHXyjkcpeVzqMbppp3IbfFrFftt+crjBE4fX3zocE9khgmMtffpSKorvkeqq0
-+4vrNawjOssF8I29gEXmuMrDOenNxCKh14gfiKMpZLipGoSvh03m14Auery4yVjm
-1EEJjSGMrWlnRcwyr8ya8vp8epxWFMgtb6YGPrWIGh0+WsYxkEvn8utb1xOhmqX4
-1QXMrAyn3zOTWWPwGWIUrFSWCReHkboioKLhRk8J3M9Q3q0I+fRymNwdCHREoyrP
-BC6R9P6ac7PYrfVYQem/hAkQoWlxpOBBZq0pO8aFslCbTG/qOiFrgDm2yLlMQlre
-ZJ0q4GGT7norld43YZWpoYwHiRufpWE7kOQlT1BthcU9A/bNrjFzUiLHANQoTLuZ
-QlhLYJgD9KqvNFh8c1nJWDaUihLYB5RaKo9T0a2wtwZn96crzDBvZlhjLix6BQyh
-GFQrbXLxa3OHrh2wc5gz9GBluMO1tGGHZxYA6hlvLqbkaUpvVUqlqpu8YAmAHq2g
-UysPD2EOps2X9DjJDUcGYq+otSaAJZpM0bFxBLbXMqQk3SzWGRDIM58r9w02A8oG
-yw33AzN9oqfwEhsxRrud83+EDZEEkKpYTs9Q9b5ivMgz6moQuKQ/wYI9ntgohXyF
-VPqFtHRCrzVUuJDesxeZxvlj2BvMw4IRfCosI1JXS4DSSMJPhR1Ky6GhBjatTMKb
-h1Ht84/pzTURPSWxnhOtcZIHWke5bjSyccuomn17W0RqoG4PvEYTHQqmwHfUtp3M
-4t5dpuJ7Vbb3jyjCE6askQjDRPRstnTA3uU6pE2UCzM2IOVb82FlcfbBfHW8rqua
-gJ5q+y8o/COgF8MWIT20atXPqGA1SCKd3uVb4EzUIDvQ8sv0AGJGW5RKSapQPchR
-Mw70x12NmZEusM8zr3aAtWyHf/DSCrxqMcFX2eX+BrcE/Zw7CRBOxcXhJWh5UgOG
-fdZA75a590cWNLdbw/sSRBcgt3CDcMd3OCB2+YRnjJEKfUXeOyP1H15xro38XrB0
-lYJ0iEhN3l0gri1ckLlCEWID3Kiyc4vxOuGBHjCvuiejYcJwzDCLJIF6NVwBbIdW
-KlXz4RMjynbxOuVanLkUgbzZhOprJDPDrEfhNLzAjfJFON2J5ODJuuAYHMIfVBv0
-LWFwe9BDVfFXJICQvPFY2NgoJL3GryH7Jc0IXGR8iYvuwjzfyWg1ym2U0pThbgpw
-DdEK1cfQ7jIjYm0Z7tarnVRjh1o2Cou4epYnnZUDCL8INl2Yxk8s/3i9WEuZXKGg
-SA9UHecRnase+V+Ldt3eZ9wYJbrvLS/XXLQnp26Hc4AUibwNrTnUt2twizHPDijq
-NKzyunZjxdVUBpigmY8ij43k+v1Uvz2RsL8hvGH67gBUT3/lqZ9uxBlzAaK0UGCv
-2ISWL29n5/4F8thwNgkMrgiiZUnVPSrH1gH0pVFBh6gRayFJ0Q110mpPJ1+DW8yX
-BzK4etuK2A/w/dupl+bCY7lAiCet3uSCZYt96hWXhCre9NJDv8pbcH/rTS8Nd9gV
-nmEX/qHbNbTbd2HnMJG1N6jwVK3ZZ31vpjEpTjORQceQcGMVfGE5lyE7RYmEFbnz
-Y0TSzEycmteMIXwVw/+xOh3AjIWjp+/r8Dddp8vKUjyWKZWVPOjgRE1n/LU4KIAM
-GY2o5Pgs17kkS8P6BFohusSpW4uOEIspWznF5ALYkrSiHSgMRhi0IKuF5lb4BJOF
-6xqxZ8Hf7FTfXjklR4ucCiWPdIC6C7buPSqgmsjbMIREASM4yD2PSUjj4b1z3NBz
-V3D5tYTxIxTG+WdirlgPjLpjpnLWtPAirBp4vOCSr6NE2fD1EVmoT03QhuTVoq9C
-rrCALXLdiKKqS03EmVkou3YY1St3sKGgAWzjFG8YJ1L+mPWYZwCR5CiTXBDADDcY
-37ZvAbzKZ0Tl+wL2liZ2qieRkvyjaprKuuG4y4ideaC51eFYAZIsWUHBRx5yaTIw
-qPcBhwU9BkXaXXymvoDzrtxs40nk4Xy9oGuN5YWm0oOXqgcg9w+LOPX/UsxwgQo6
-ICeBgUO6ZfAfr138PnhMfoL+l75+ksgJVTUhF4ni9zjfXp9KvVIxuAFvxBIO+bst
-rMu2sc0sl+5oExjuv+x57v63J2Q0wXnQ2wjr6ptuhWPYD1DlHzJ9JMP7hSuNEV3q
-MzXxN0Wr+8yZVT84+84AWL1N/nS97Jddc+2dO2FdnTq1LNXh/P37UFtmpUIQFpG6
-92K4MkvGI3s0E05YpSHEEA4sbCLKNFfybt5VrlwbuJimSUb1p17b5wXHUrfzEXYw
-e3zdoP/fR62f0z3BIJsi5rv/zI2zgaeSWjR9q45xTaXXDjpPPcy6nMuMd6pu4Uj9
-YzkoYGPP+I0q18kQ6KDc+wUvj0pxx0Gr59NUce32B0Oi8pOIFJulqzSnOjPYNjv0
-UuVQNAnqxXoqqech8ozH9cu2jmtz99HzzAy5byip9DR0vBjSpuvV2J0lebAbmZmF
-unPdGpRPdfSyV8PNegblmDQw1IENnPbPcRsfNpujME18TwkaSejTi6r8D08ftv5L
-5lfwIiPTlrPiE0IzIiio8Kqmd2fNKNkQ0WCJVFuSe1vDjjmWJ4BsBSXaUdXND8uG
-+k/VzN9/wFRqkHSS5izqR9WCjLQVgfNgLKJT9xBPgPNak46kafvLZ8MIJ9b+mjyy
-9vM1Dy8kXlIreTT4jfKuSKir2dsls4+jPcy1AMVW0Fr9p+Vox8fn2gSIEYSB+AVx
-wxJfIRWuXaK4r4Sbw5+8RbFjd+2IebLWxYxZhhFuDZD+1m/6/HO2cRzkEhdX2DXy
-XE+doTLCCI17t0gYpTPMBXDbalaGU61QGUKIYlKmDmOR5CHCelHua0eo3qISfz1W
-59Z+npRHFAcR1feU13+wFh0Y/aRpHkiLIg6P1P0A9IGER5UoWL2jqNEc75UGdZqO
-utpi2zwQtUjMHkkTUSffiMyKjua4bzC7LLT7QdND/ehVnnVWviz605DrDcFyFwgS
-Ri4F/yQxgGMykBwCxQ1Z0sy42FB5mFoAncUM4ILkCPaK39aiCcTORNtzhlfUaCZh
-UiWELibVARX3f6DAYS3s65HKm7fYNMzi8BFcLe5uLY1DUXkDjcvWt1R0dDkN/abs
-zklxSwKZyViBZF56Z46ViyRvda5nBYmiAs/WT3z9hSRUZog6Wvy8HYU5a7rw7sHO
-61++ZByXndxB17s2hx5qrKicpqSbCoOwRSox5lu7zFAHpqvLxzlG4Y9MIMzMZF/k
-elqxCEnHGYAs+MX4kElupkdUr7cUAmja2BOJRuR58wYm8H/inW2cuLrCuIWAfUpK
-2gloFbRfdvRK53VWt/iN0FzuFP1UbRxxAPd4F8iA1w6bQoDlNNP2hZFDbYaQUmiW
-C99Ych3dHZmSdWrKP+c/n34VMlzlzuDQ9TEt4xTzU01vA0I8poZOmf/HZXiSOvvf
-VnHJd53Nw6OzmZDDupTQa6YlK+PAex6LW5H6Ufg1RCM6nkMMb2iWIdPLcDX4sf2+
-iWgdNTQKtsl744cSiNsBd91O6Ra8xQPCxAgExAb+AmSCxvp1aMATD1U7hs67ZhJq
-ZOhiNPJlP5SyQHT3vcA8kjuino+aboEEnzbZVEPLogG7LwQ8TTWvl+zGnGKWoOK9
-uGc2feMXvER9Y6/W0Ss8hrRBFd3AI3uXqMMqH1BIgFGIlzXIBRUboZ7L5pt5FUx1
-RMyt+6BU78kgoLYWUsxHl8pogXJ1IewtkOwfjkajmPOYrtPeaJYzbUs8TtZw6WCD
-rtm/LFuWLHXbeuNT3wvCrcJyODKzZ58NPBuj/7GGfcEgkIbl6PK4mk2NPKmB1u0a
-vZi41diKYHzzcXyvlGEj704yTPfIEUqCZXnqn9CMxEk8phsp2x/zD67G+07q9Cbg
-w+EsQ2wtxFhv8JaR1vNWOhJsIqlWtuhvwVG2hG16ipKb+Fc+2gJKKPoK+SjePj9q
-4lG6gPSEGp5POJ+x5Ra/rzMRbv1garLMB+5yEHDu8WwDHH6Bbz9h2+q3OYTmTw+O
-LG2yKcGRjPWHrA12V3KFqMd9HRPHh4HGoXK0iM6BHXDLvaVy3J5e69P8PshDwwOq
-cty3s8cP8uN43Yoin/8l42p+yw+T+28zFgaMqORKuGaSXPO4ltETt+ToSUgzQ65M
-+G4xOjgfs8dBXhu/RK8VKAn02fsLamZ+iqe3k8UV9TL8GD0mdtx8UQ64nLeY0+63
-PyuAbq+2fG2A3ZufmZ+af+37wWvHmG7LmEmVNPg9pN5f3BgQVoI5HG6hqEGFr3qD
-EypwAmExaqsFx6Zr/2XMmd5h7636begWPv8KTCFor9vU20p13FV8yjdzCuJoxfd1
-p4IUSkjKTHDDH56CIfQFxh7oncryisfEJM5ewGLx9UV9kS7Vt2QlU23xU5PVpDUM
-A19q6BQcXN89v0Rq7aYEqvIw2PSn3K9nb/Ga82gp2Sh7x54YRo1+sjigb/eUAdX0
-9Gnk9g/0j6I86AwISbRRWfJ5VUTwdUk3V8uVKoTHq1T415qDnv/dc2SwR2q8r6Ga
-i9f+EW48tZWUYvFOvNEu6rJx25ljeKTVtS/v61oS9J5liqCFGmSY0D1yJ/1XYsY1
-IWaoFlnbriARVirRBGjdZof578cQ1bP1qCS0JplAli9LB4R7o2DbOz33pw36vceJ
-kPVCM1Wx+EPoituNTwwGLKQl6e0jwiBNzidqVx9Q6UUzvbW0fzzYY2Lk80oui28v
-Yre0T6AtxF0qVteUh48zfaNs7h7Pe7QC3oW36oMzAIvaoiIyZ7kY3+aa3F9sgebU
-a8cN6crzSxNyP7RUETjR1UGPi2kHuLhIkzzRqBlSKF21fMSVWQGUgo2rPmX++6GY
-KiEeHmgOtT7gTnuKaOTvQQKC98eYs5xg0WUF8SZH2ALYNrU9iGjV5bRRyv+PerUN
-WDCr/gBVvk2qjOHVlKm5d8yaQCE4qWD6uLAabbQuUtwNok1CJIARvdtW3Z8DqXwP
-5n7jPt11SCYP5VSl44NHTeL2ps933WtACEJXtZkpogoGZJ94GEmyLCb2YKjmDWXs
-BpBCX/uGww+sbRjHtiWZ1c6TmcUhINkcSg6nwho4wwpNvQoOHYo9JUvaWq3hRGxl
-NQ2s34TI0swR/i8qi++WCpTfoQFygTzwZS3RVZtzprVYv3Ux5wASGlxt/0ZzFmsz
-JhnpSwjss64tzPZWX6uLqsmL3VY9wlac8Lc/Xeswgi6vvU0yqxoXsBFmA+O4eKwM
-18933PbzjA/ezfzlYpnBktiaU2KTBwzYB4ECZlFncOScbWTHjZ153ddaJ797N+E8
-BwiNgvgH4PtKGHBBZhcgvTGjEVZGqRJA6QD4W16QLBUtsbuRb1/uNsDc4WKzboCR
-W1kHSo/w1nKch7zf9lVnWSaKxRvCcsf5oHAjpTdv7DcZ0KTTBiSbM8GWM636KkcB
-LJDBd+rTIznuqplHH29nR1F961pdlCwG+HIzIVskngikBvuv3xoe4d2QMbSLBITh
-JLXXgTLWva5IkbHlFMmoyxnpONkVHAUw8154Xq0RVSLumgIVnNb6En6QbKrD2gUZ
-+VXY1mv834GIAdu4zXL4DNTDqQXZH0WnRBrBbNdw64PnTf4TB+fTAmZEp6QBouaC
-StZ8js42kNfWx57syqdVf1/7csFQ5Ab+KVsfPfgscoIvh4FJuElHoepVx/hKGk29
-to9DHOBWAU+bZ0Dn27saMXj22eEAlyrRT2/nAjI8unwasy/k5D9B2yO2iat/h+pk
-PABGw0fecl7IPUTGkzDkC2ge6zE/7YyI146GWNz6bvoMihIEbCjvIHSZDe9az7QK
-sAmxr8OyR4L9eOuOu6im+9gfMMzDr7oBrcTOP2+yL/InNVoTh+PBlJn80eTbeZAq
-o6y+iJBAdm2Yga5gqEZpu/NGcEs5jySk1bGCXwWJJWHPpUtSKAa+Hz4+6z+e2Wjc
-qVxJ2lOX8AAxpNWz+fWVVrEYrL4FXhwmxwCSCFA3NOBotjrncND7vPMie85cOp8l
-ftzy644kTKEE7GIRSVj/UTFcbx4Yo4wEA7iUa5DdtvASJACM3dF0BcdgliaMNwDn
-PB9FZm5HzGTVDqqdy6aZMV+gZu9x761hQgQM/tBk5lC8nIrSgca1hom+e0GjDsPE
-P4JNhu+vhp6PRPYD2VKVLmvD4jUuOjXz5DdUFUM2AqTEcFWan4Yz70WB0GVnjnyY
-GkI+iLb14REgwf6EK9B7Jy3g1NzPjUNcwlaydMzaY8VnyqsnA1ZtgJn0zr4cLUWM
-hdXXcz8zLRjIEKs43Ih9BOuMZuaCBVXVAxe5XXYylpCco7SJ0/SW3nBCAi9beBO+
-OctVGH/4U87xbM+eyLYaV6DOINkpBGYeCplVKyaXj+yUXE53hLRsoI5m00y/3tD3
-3mNhbt157k4zeurROpZhw0KaEIO5tFrbm06zbCZxyr90S1YFkL8KPq1bIfRXC0nj
-Cyw98u62VRoPfJxI7PFd4vZezlaF7uts7bmFQk2fwB29O1EEEc1A9OcCDp7HDoOi
-FLgp4CWES2mvWEBu3xzUv3U7fbM6OZl80ouN2Ma2fp+DQBZoqZBpHVSdfSO9vBuQ
-m1PZTvuYvqeVnjmh/AI8542OSQgkwYh7M+QZSHQLZzFlT4Zk0I4xfuH+7KUcRsLv
-DGCITOtc88o8PXX99+vyeZxaXNhrQbkqYivrxJ6brKA0m1dnwH0pdpwyZ2GXQg+w
-FgY/eu/BxPdK0sIyxOtKiMibqDn5aIJU1tm557rMm8vZfOc5v0uf1Xt+cIrKMXUL
-mpahHHkUzsujzB35njdyjWtlIYkHojJ1BNKYmf159uuRstnvJWoufbbTKXbxMJN1
-0fNbsMU6Y7R3+wmBR/yds93+AlclBAaJfB8s2hQDkdiuudl6F8fdZ6j9Q2VA3gxp
-pmqeLcFqCnAytjIXYuD6ZC4uJDGb/zYCsX6DQTwRX/HCNU9qus5rQ4kiDEB+liuq
-MXrUfM5OE1LN1yJizKjPz3SUYvRZqy1ss1hMXNDgcXdY8aOQyha3HbjxnDShED7Z
-NGkWBclMh0TT07/JCEg3cOcflkJOtwQ58bkVukG6iX/NEJjdngElOfXxx+QEJ79t
-zIR4IXAsux+0reM6YZu5uBtP4wFDXtTXkKFfx4JnnAa2u/iOgPC1vQn6AHvlYtoS
-mdXmRANztqHjg1isStOZJBPTIopJnpKC5nQNKv8JMOzczQh2J1R2tRVL1nD1ndpe
-N6Y3ir5bdQTQ1tVXESxd9FpIcsys/67sgDUvU51FyLdEpp1y35uR1nh4Y1k3nSQO
-Z5F5+Flt83yln5iAxYaGEQoH043RUeymDw+JbPicHg1riTc7Kz5acPBVRgedynIN
-NvLOnsfPaF+eVIRpbNSY6MGlNQj6Puko2yTMjYxax7kfi2O2GeJUMJrYi8xLgKIW
-gkJGpRfHRgV9cnkN82o+IUTDMWcLbhq30puup0DLkxWzCFimn9GH/zIkbteXgN95
-bk0C4oq+jSvF2GLADgObCdf2+SsO/bzuJd1SMPvuDe9TJ4L0irmRnQ3KPpbPADZM
-6b+XNbhFhE623U1T5Bc+ci/StBEjxJz6ulvvwTWZcmXgMojdEOlE6oTlWiilzwp3
-+wkdP0Dp3GO16ob3sgiEzLQZp5sH8Q9ejV3VnTA9cvv7yEQNqFOHhEQjCZ2Bykd8
-WtFsN/ue1o23+Qf50Psei8WUGPgOp4ETSx1SYD7+Uo2Osk0QETWSp0VKKMgRnlCU
-KCKLUnEU6kZGaGTToJT1J3ny7fhrA7mPXDgDP7e5QyQr5w/7Mc6hKHLW28KNodkx
-+WXYtvYHH6EqyGO9QdGLwthXY0p4W4E93VYHN/hxNpJpVPNdxoW30nWMNl7UBcXx
-WPpq+bQCvNtemwLiRArttkMZUmziotVYH0jQDCFT7popzIei4Zl8vUF/CPwXCcvv
-Z2ZnYrkS/0eVaJ2WZR4ChGmed8h87IT83SyfeD0SZqWlm/rJ8jNHLxKVXQJ4kiDt
-guIsrMArz+58flOpvyH3SjH1dGJuKPoHd9vAIe4rp8/CQLCbhl7XZc6mIMlk6GYN
-bj5UZ0keOgK6a/nuV3Z5xIwuZGuBEwI+ZWp2mQ+si/23Qwax5GxK4/8KflybmXkh
-ZAj+ffknUob7VWZgDlhaAL1aU5vOW24QEAkd5Yolf3ylYHARLVwMqeyGLKWc5/EK
-kqOJo+fYR5MZ9XAhohOltSIzf0opc5Y2uVvu74U3bT0ZKOlXpedZmZtBEJqIiBsw
-MLzNf7inIosIWfxWBnuln/o2aG2sQAkEgbl04TefRoE9/kC4ITE/+JoRoLnukMgo
-juK6CYSqdAuFVXopa+gqE8aN88BHIT9XfHkgFAC9PiNYAVbnJDzo+48znyDVqDjf
-pXr7M9QLbed8yNTVXKAPDmEcwMBpJ59L9u6hHi6cE4DcFI05WsAErmjq6zHm2d8v
-lYmMqMbcH/YgaB32BatkzGghTNvQ5/iCh+KQ9DztiO1tGJD2jia3RviW1mYD6Y9s
-wgUN5FjhzxSbKFb0Pop2BCfV8yH+/kvv34GwlZ2pnmHKoMdC/e6xz2AXs0Fv2mnN
-mQDvAbVG93UBq1R7KtseCZ338h1J4P84ib/iJsIxhyuIRCTzWUqPiPhMKZbHIRlT
-HozOy7QxxPSoF9fudSuzwudJDoAu9R5y1gxbb7sXvjFxQY6mJNrYIygJOCrps7Tl
-OEgYBjDF9nMyhOiouvm52f4Zry9OjHIA2nxiYj01lXrfvnb6BBMyJpX/0rSyZ+lH
-ATuyNy4pmfWvNk52L96/zZ2WQbv14TuQmfZtoNTlgFJFJdacwv2cntm9q1KK5oXd
-t1gfj9bTIQLF75A3d8YRCJo/U6uiMSPxOQohUyIBJkEYNjWUM64OTsdi/cPhCSVu
-YMtlARgEK51pECPPvZGt7QjdiuBA9xepUcRzlMe78Gc5R3XHaRmH12IYrRv4Wzma
-dKfL0ouv9NYv2DByjXY4FhdfeLJEt51alGemM70sL52yK04qfWQLg9Dbw22u4FhT
-+PqIY8qEK5l5NAtVUyjkHT1oLGhSWQ5z269invuqhwzKKkKwRampajtm76whvW6v
-I3mmrSDjujicgijAh7YaFXT2cSJoGn1IAhFfs+FHc8qXjRDIg/XcOLfG0FreD3HE
-RkXNSxNk84ofVfppbUElCDqhK5T9Drw+96DFcxWTM8tTpF6WUmgaI+RLevUr3S5Z
-lANkBpjtLTXQdz2w/hIg/7wFBGS44XWLxSrs8XCpXk+znpJ4Ga3yil3lnotuZNuv
-opbA0D49Cakaly4FKx3kPzBaImOOeQo0mddfYfambPvI2aI1+uuNhHgsePWmN7IJ
-bp0gbyYI/Rta0COyeCFq+vnwpi4xXu8c8SFEetqq/LXZblFo07EjtaEimxzQ7f+F
-URPDu6DfLAPr+mjk5zCg4wlz4dk2j6YbbqsIyUyTKJ0ZJEZDVsW5X64Em1xTMyTV
-xWac6nHnNIytsLiegj6Uw37GL89urAwJ5wrqbJJKVcp25yCX9wzi8X2JoDmWsHKd
-ee4jO9Ei14hWnPzZG6jeH15+jBKEk7Gn0Uehbges0w9er1a1fD+UDB0pCoH3c2Js
-hvsK33Wx/7Dn8+5mveA84m7l0HpCGF7EoQ0oQJZT7aBuOStqTUzj3cKiZL24xduO
-tMib9cSkSWN5tjlX1xb9AqjTGgGn17m7o6KabjQ8lR4CZZfPTDndnKPDNlesUzJo
-agJYOewVHhfOHfXesSzUkNh/LS7cbBC5Z3+aWlqAb8yb2TfPLNv7Y73NvRGjRMiU
-YrcDYgwksbE1gYqCaw5jWBZjPU0whkyxoLPlhEtuzXLr25ZHliU5xib+PBmPyoSJ
-wmIDgsBlOsbH0KqQdbnUbEFHHAi4hT6jipXinDdeZdeU+/nTg68udlDySyJF99wU
-vrkZgl9tR2wi44A/SbRj60dUG52hSNnWFL4CQp8quH97XynUflXztFjD/jb/HQIG
-nXdEDyUF3D2EhVsTctbnlQThLRzAwrIWMNetUfCRPohvufvipYZ0TnPzlvOmud8e
-SrmOnn6Y6Oy0mAev+u43LauPRFRcAfskyiuprhdiECDgudJZ7G1MR3ZHXMgAKvLk
-DWr3DWSBN5eJ2vluStYL+p+a6d4xXWu5dZ7syB7wV1wGk50GX+JY9RlfzCD0JATm
-55Nx8TJtfX8Us0Iwn5V5sI28a+92XZjBRn3WO3w6pALVsSY8AEFp5poaR5mcEp/T
-H9rAf/Jb+4uZOXABGEnCwmZJeQH0GDyQ7AhWX+WYvoPda55brqsdIfMhc1VQHyOz
-S29+jeCGLXsHSZl087zXTe0WnoylkI4sR4Ix/oqhNfoM52c0PxcbB6JBqTj56JVS
-lDEz59AgdjC8QTprh9XBgF0CTuxCvTmtGpONfNXbFfUta6/BTloaKzGgbeCF27qe
-ekKeK6153fET43yDCZdbr1DoIV8kRWkOzP+1LQ/MUE7HIse84vYnm/+C0xVrA8cY
-VC3F91XflpSHgWaHK3R3Am2a4lJQYWqsoPeHc1qfZf1W92AFVU7c6wfFWgN2x/Xy
-Ug5umL6RA8g5VIR2Y8GUeLLhSIsS5SZZUb4oulSHz+YduubdLjMbsvOH87Rncczw
-YWSgXn9mNBA964aWX6Jc15VtawOse0AwjH8LOVIXdo046C1coTFDFmVcmmX+Vgq0
-N3ndQzIgNBr0Ohf4yAswA+FAp7Ko620db6mNF/AqiP8ly39265G0UKH0GXFtawxV
-SxD/mkV27v80lkxS5rpVtidFS198LIxqHGxPpeu7viGKC5+H8TMPAvfs8YK44I6r
-PgGPH7GbWEtBofxtfuNN7VxpMlb25LF+3Fu1T2sfCncwfnJosWYxrqZD3D1BNWu7
-t5xG60AV2psd1rAzP+d+SEPku12ujXM9wRVUFVF3+NT8A7LjCdJ9U9aTxFdP9ngf
-CxBUdtpbWeURtIRiisMtSXGEzZe+55VyWCVR1B6VFIkgGT3QEdc9SzVdT5nmoHc7
-mkeKq5Fz4XpTdgJxlQaRFu0JEEU97dFWHEFeXR9h8wqDIWyMrIDrxfYWDQhyYwnu
-vSnwMxqL/OtRKmNmVBuu3OrYdRGtN648O9u4vKVcBCYYT+1MVSyYVlsTeg1wbJzN
-5TCpSfNDKtZ6VzAvfXrfqmS4hrwKmH1m8ZKpNmJoHvJ7vd4VmBfvCBLw4jzM1A+x
-FcXW1VKCJHcRmQP2ODPS0W7n+IyoxKo2GWYLp2Dq7bynNQzRl1by0OvHa5EmgAVT
-sZTfV0oAJADx/Qg8auukkSymO0r7fjgf5mf1EmVoxIM0Ov3dL5jWd4qoskVhB557
-aCWCthqDtpAcLlOHF71Tu157QIK8SJbIUE4eu38gPUhqeN12JDRI82+cBhkkzwHk
-y9YmN5Lo389QVnl0KZ5gAjVp5Ji/6IGNKrIUmGRzCJLpRZsl/2r7T8XrKgsmP8vy
-vpHBcWRvXfgMx9esiRrlOsyirE7v+nWJlLGE5yKI8x19/087FVdPJuuc+jKRu8WH
-8+WNdVpkqPHCKjPdCPcChLBCeqxh66Dn/Z3u4TOGJaCGW226jUZoN4oJKcd1XVoD
-6yXHcBO3CDTT0qV2aqep+NahfaWpHxoGdQWbk6TdC07xitE09tLzFy7etgxXlh86
-C1xb4duGN+3AYBxYnIOH53D8G1l6ODiWbLaG/qlvxRtNumP7NMPDRIq6JgqOaMuB
-n+r+dtYgT3le4q9sfz/4ex7aLBp6q4y3xMvX1GX0VyQj2x1t8rAZdINRv6LRs0gE
-lfc50nL7/ILMBLLxU7I3fvFC0ERhflYDd+ArERjglmI0/UbG919FD82rdudPaRHa
-Hq+O52DGgiVTPACh+J4mah1F4SrnGfKI6scNtArdrmdVtWYuzhyCIgamGJpvm6fw
-KFppnB4HpD4QqsxyeBPIY5qEKV+qAGp0IsJeR40/AJmttVbdMkDAR+s9nQG0aIHw
-4yhgMcaG9aNlMNk37dbV4w3h3pjkWM/zltgoKBLoIxaQlgCRzbjf1zv2DF/mFpSR
-0nz00X1NDLHBjEqdrQ/5soK8ku862NmE9W2aItq36o9SIOGzzsCNDgxYWGzQX+vy
-CM16lh5O3Y64TuA+XJJ3mMcDEwUU8gAs4xKmQUxdFWas33ztCJrKwKudmHakhJsA
-iEIbVUDMDGY4W+aM9b1W40iqy4+D60zU97IOWxHFDQ5xwrJN5S+uDLGJhGB56PmT
-CNEE7J1yA46JZ5WzL8dur3F8LkWcRRimklJGnJkuy1NGwdyXxyigQFWSTqDUXkxC
-REN5ioS28hMmDO5jub2nCkovh3zrpUWyBmJzxUGzls3j7lEYWQyN4PHjXiMcJJeW
-dDb6vTZTaIMvxpudNqGQ5agJt15SlIH9syXwM4pGN+7KOFwpRFsD1OLCrU8S3jln
-ORDcPrcJn8fXivBNj75aV4PAJGrkOKzy+MEEjF4dDINmJi6so057630IMu+TQdtT
-tntGFiuUZMa4P1v1Uf6XMYYXMk3hQt+F1KsExZifUv4kTnEkyQmrPHFT6CHKWf4N
-wOv2kmEoSA3D+7uu3D7AuAqxY6PaIbAgrvbi0NnYgHsxXXElH+PPgjEu6KHfb6UW
-chm+B2yrm0QJxoa+2Q9jklneKowPblwqaozWFPtCUrqlieVTMOUrMcWoAD4bRRF0
-9cDGGy/GXyZYH7dam5rZa2s48VNIVBtVcA5gk/03nID9pNq/GDShEhwo+v2HUSo3
-Lk/8a22S05YeNf6HtfiAzLA/bCxfuJuq0hFdV1xFqIA0a32Gr8OyFWllOD4lawKB
-KsbW8kbXTdnb9c34NH9hnbXSEyYZGCBfh6gxSgTJ3m/8Td0KwnEchbU97XSk5Qs/
-wqwZXyWD4vw5clXURhvFP7VQl5ETxEE/T6XBW2E+lNtUkcBBp4ad9dHVK1KUqKZV
-FLoJAxI1L25xzx8JVRLM0gJZkGeqanx+6YWqyQhtj94Ng/AnEP/V70nugAcG3TX9
-+SpLNNA2eDk2JxOabnN9ilyOFp8xjaVEhoFIZ2rPQXwSNp4jyc67GoNiPY0bdn/J
-FImVqgNat8DhmU3FATYXxbYEAo4fVScTdIri6Dw05yz8Xuq7RxFugRw5v/VO8yJS
-R3WCdjO2DG7CxWDNQq9PaXfP1sR0aJw/4paohxeVbdbhOqHOXt4PmtbVcJWtYkez
-aFwuUemsLhK4sytNQzVqW84c4ez1Pqv2OAx/qXw+P5iuQpqVcETyInTqucZPm/X7
-7hMgoe87iPJffqRh4upHsr0DifYW0JFXgb4fCLrvHn8QR4Ga8xBZ/qcGQgPOtlS/
-ekCSone6zAkZNd8Kk0rgwLtmAQ9AzD8sCxaalmZqV9CIjz6cOszb7kPv1A+jtshg
-0AmBz+a5WbxjlztkHnykf6lv2DGaMt3unDE49K/5fLnX6gjidT6DaSU6cl1u05h8
-e/2mKhKQV3h8Jy63Pku+5feeH7R2KEXuMb+eeasDDJUaHu1x+WInz3cxzirztk7b
-zkeg58EDYn/Pd1Zkg3qmt8U6pbRq4woMmTlP9VDCX2qDGf1N2Wlwnwd80YCO+LrE
-xBPUAXHDUp30JKI1uNo9qex/NQvS56M7B/Q5R/zOWpSXBqGJU3NjEu8cDDZbNetV
-PbzSPCPgJK87yLiis2OvMloGP7h8y+CRt+yFSK4Dlqgy39q2NKOwAdcdYqzZDHYM
-hDEL8Di+GxlB3IayukfsE3oe+2pNFVo8KpNWzb9RP2EYU+CAtSVZq6wk/G2jDZZ/
-9Izwc9XtIbWB/Lle4W3W9THT+KLz5eUqDqeBBLRTROqYC6Zmd948XkbPo/NBF6Hp
-8nDKKbVgFTYtr0IKIcbLl6VoaDAQFSCXs9memM+xLQ+NyEY2Fa+0UnLrNqHutRWb
-GpFBWBycUYG4sIs8QPTdB74iAlW9QAhrRbsahBhGhSz5d1t9ct4Bjnb+BGSv6i4F
-7MHzHT6o2f3U9uOyc57IRt9OJYT5iUU1Jh/Nc7G+rt2hKT/0bDWARLEcUwBu3T+5
-lq/rkN9Slpt4b2zH0UFsWJr5pvKQod4kBon17KvER5kitSdjMEAlyCHBbpA6s43j
-6ofEGU/sbA21oQEZzA9kDQcpVaKlN9sx9z1rRsY282rKRRq6FBb1JcpIRrUpYKyU
-zdt9VHX2xPMQz9iJBAWNC+bhB8Sw5W5r49pinZljG1TQx7/MTxy5ImPv/rQaVLkb
-089jftna3Eq30EQiKjtvFzmDL1nwagZZMdDIl0YrETW8kjnbkr0MR9pWzUOLqZuU
-k86VAaQzr3AxGoAb8pX0PraVyJXm15FzuGEfRqdt0EJH2oj60+CBCmYqhx8MpD6n
-6j9hZBXe6SkJZ76Za0impsnbMdO+9xrZGqzjMXSsfl8/wGVBA8kZ04+iO4WS1oGj
-xoTOCxHTNR4w3ALYB5Ty23dNpxlCFA5sPjT9kxss81FCudOxczfjnVfRZ3sG0o/P
-K4qVvy3uC2u0sTcZB2Q3B9wEUALFzPYSYLc1DKf/UbPuL2+ri/4PNWV0UjmGhihM
-643+22Jv+/YdtX+WJtdxmuG/woLnXItiP8bpqgWMDXc1RysFDThUgNtbvaltAq90
-wV3Ooc9Xcuv1ousieoOKSaUfwhOuDO3rBQCWLAs8k4SoNB6fgcMIYzXPUuxnNMTY
-Mm7O8CBgI5APoCP1cFbhymPAaE57C6TEmpOVLMsdRV8OShFoe2PyKR56MI0yFTiN
-Rwi45O+L5IZOWMcRLHVSFXhsTHppo5e+TIw8IZjFqfF9osbb7xd8JKGvyQ03ouQK
-Vc7b6khQYaFw+Djjdx9TJrNY69uhGaRCwD1v+j7UZAIdk5kfe+KloH2Epf572HwW
-KNVbUN7rf5Nb6b0XUcqbm89ZD8GT8IxyRwPKgktbLyfKpHK6SR35D3xzUZuZ9tDo
-MxvGo4wwYy1vf+4ZSENaWz1Lchygcb5maMOxe/w6cSkYAgSyMcPSKnAIJt/xJfIW
-l5YQkN0MCM0GsLrivz5/6n/0g8vdm3ZO4x468SSF1UHHDscOpixUdQstLAQNrHmm
-dTTxOPudsg4l9d29G/zfnlTqSmC7j60qUsNrd215xEMCqKqvdBwf4bjESJelGhAZ
-V50tNy6lc8vvTtXKHwtYtas9T3q6zFp+bOVYyl31noV8RiyiV7v44oE//c+a0wSp
-h9pQv2zC45QJcErW98orP8efhcfuEDgcHQvAzyQa3fC3InCsrYxD8XRCkDSeEKrv
-mf9vvI7oBt1+WAt1StQgTp55cG1/DYMRKyn1QcOSY4QAKcbUM8oiAGkUFmhJP0ct
-088w78N9IFm9F/KhpYeABb9TNCCxQ8AEkZ0UJ+rq+YdPz9ln1pDHPs7SNVBhcb2j
-0/dS2ZFc0DCBMiXt7RemaraE79B0K0NymDgr4Lk/o/tcCGQ1K1nwmGsmo7soz+ez
-dLiOB/RRkrzFPUjQ5M6fXd/ytFgvpIhqlYWvODQgDrUGGiiVaP5JrJCopYAS4We7
-KRlancIOuD7l6JHm3i/+jJuQYDxv9jgTXYnKYC0X+G5bwbVWxR5cPHIyFdh3bBVL
-S0kXfIaoqpoQX7SSlY2rpxewxREWTnZZrQAPhQbJMDBv2TsIF9AwHpLo4XzQWIbn
-dnK1wvPhrPokyQ38EHJQL/1JqjdMwFzNdFZlwiL6UCp3o9okwqMO8OWnt4hoHhck
-2VwFqfc4gB58rVuaCB7CZx/iAm6+5mtZT1jz01xEA5YcAP6f16PWL3cwGoS1g2I8
-JVx9LaW+QliHu5CRuyZIXiOJjf5GKQR3AUnEhFOHwDjxiNqpzJLjve9m9a2bzoZd
-C3IaLFwwwt+xwFeLN3eGavn+pZaj99AeopCzzbSM5vZkS0VKgzFHYtZRi+NjvfFv
-mpy+ynONquV15vGJUPRRfvbF5u/amXVyR3WgVO78WnLDANZBYHyAP0vI855PAA7W
-QosnMhe3fL3N/NzOBeyVvTgmgUcACoo3UmPZJPeT5v9zbTW3/2sU4FzIinmaJFV7
-7DtbGyieRlv0pamZgDgRqppQEAmjn9HV+YoGEGKtupWoRJcJtEYFBM2co5PI5uTG
-No3fCUoP/sfZfr5PbxKfXwv1JEWFap5XBr+81gVj62pPmm3LiwM0OZ6pZqUEWZjS
-t3rQlc0v/WO+t1BFlxZUUTSVizwm05W0RFB5mz+tzTSEtVTyYUUzTZJqXe52p8CV
-qYMMRrLggtD7qC+4EL5dfKjDIhlXJ8gwaVrxsyillqRrXx/sH9d5cJV9xnKGJbLv
-qI/kC7jJf9GqHFX3kOZpyi0tVOzLrqMUJD0sAaY6Xjvfpow3twMk1A6Jy6viQqwg
-AbTFS2GmG7mzGoH8QKxN0vcbuFKmPflESVfI+hLuu8WLMAHpHQ8prVZqSyY35DkS
-NdtjbwJuAKYM0gNmIGDb7+QI1VPbQP7Bxmf4acT6U/7Jg2my/mjfnTYvfq1SLwLy
-g2NI3gLG2zjr7CSLJyNF7j8o/Iy3odmEqbTuvewSd03W3u1klRqK96JwrhXmI/Qi
-/+griq2tZJwnwI/PybtbO58pJC8Jre0Jgnl78I3FJ8zW+WTaechtePi5GQhrSNQS
-9Mzkhip3g2ntMPGfJAcJD+8ZRsGp9srT/3p91Y/iJkX64XoOEtGGMlVfsrLQRBEB
-tblf1U0yIcsBUQgGPKYTyTbErDxNSMs3go38UL9tR5qXUm3oAEvdoiiF4GtSW8Sn
-/2knDeSoEhdvcbGy6XEA/2yRW32vnYqLk8D2eaG2u5G7QT4dNkUIFHLtomW3FVNm
-/fjrtio2abeiAwS7EgPrIVS4UlIyC3I8b/DgwnkCJru0gvamD1d66gZOCbFe4Mrr
-ztoXmd59cUa1f7JW/Il/XLAM7v3m7InKOhukh4yccAsIB00iWKmlKybjmsaPInqM
-gP/QctecIpwfVwVmNVYKF3vYHAatNqXFeHeX5Ju3ie/zCsoIWB7oNc7Mvie/aykm
-ue+4NrQjvCFKCbjxZDQ6Ebh8sC9i6mkOMP2L+u11rNQ++IGWc8loVz3S7PuzQy6A
-CwNm54ynVxeaa50lU7BXq/dhRyoorScZ+NKB92kIhb5eVy0i9PtgghyctVs1uiVN
-76T60ufgyiVQnZe0J7Oq/W1dQV3imY1VLHCVOGlZmL0PcAPF/YAg39ctN/5/XvQb
-+hvbXNLrGWwjce+JBDjAaqBP3OdLJwYJJ7bAhElpMdeYUIXkAFwHcfIuy7HXqXWJ
-TvSPu4HIArjZL9Dym+IiaJiahzxkVVsFOg2Gl14o/B9jE5wg2H0aiPyfmOrpY24T
-3P4rJVEac0CdU/UXQYws7htzp/sR2So1a+i46qX9xIsZbP/xbbFYM0ztVPF/AqQ1
-Z9ziYW8ymtSGrR3CSOJxa1/ygg7P1s7BoNxcAV20dESTGRovM9Gn3Nr8iXhG7NJv
-iYIx+SN8A1hbBy8e4zkyP+8/fXU8wuZJB94FuTcpBbTMgi/trLJv8ZdgCp86xT1V
-FEpe6tI6Xygz3c/SJyGM4SvguTG2nTwccnWARoxyDYMI8uYlBEOzRBpbE3apko/v
-oWc1CUcKZmh/m1JU05/JIgidSvc6STPCuc3P+E3cdDOQtyH59u88YDwiwRc5Uk31
-+TrDZjl+BHuaEOK+gS4LBfz+RRB2XPxb6Qw1pVAoIK1TeprN4eG+UqTdpYP7AwIs
-+0YHAClp9oF3/rN+I7h80fhWXsYpZr0NbxtcuWmH/RenOlD+SOXJk8Fywx5nF5hk
-KmPjkLzBiA2/2Z5cjCeToSvu67OO4j3AFOg7iXTijwftZ+yymJt7htwOQnmjzWgQ
-aTC5Wjs+o0f4CCAmjcDN/UecZAOKgXh5nwbJYwvSMrwf3vUqXYsv/UaQuFYLDemk
-n1iZMpsvS2wPaeiDre9W/kaCjRLW0oW328FX11tbRmhm13nW9vlNAZMfB7uHT/UN
-At+0rUg2MdIEgGvueqCllMglrDqgWz8O+V2KvYQ7q8eypTMbIy6JXrGQutl3EpAa
-phxJ+urVcygabjaNdSf+fvV5qILlkeSp3o6N2fBZWTQ9wd5xq/iVkAnXzgi9sUC4
-5xZ0SAUmRjnPJvW7nN8kg04VVoLDadqb88SQe4RLFFwqHvJ/jeNcHEO+h5fr/CTr
-h+4PQ5c2xwv1AYkl98kQ94s+zIT6nuf3JNShi1pEZ4Znp97UtJg5+DDVp3o/KnNq
-JYX43eynWd8be1y1gRtfWfnimredEcRgR1LK1YVZtRfXfQkbQ1h/qI1pw8oHNkXp
-ctZMiocJmuiDYYnGCdNR5b2V0wFP8XSo2U7H+25JqQ/ItHOeDlG3zloiSYqNDIot
-bDJJOEWFc96FyyYWT1QNuj/ONf+pm1vys/1VtZqw7D7TsZZkACwZZKPcEsVGAI9q
-kLR/8p3dLRqAtvh9mGB7Qi7Eyhy8EwK2dzwh3Zkfy4WeMf2rAXFelqCkwIiiyfE6
-F+7S2p4TaLgUvR9QFgKVElL88mpGjiwERWr31HeTB3Yr1Y/J42hLPq5Bp0Ry/ff6
-02cQD+NG0j5J7dTLa68Xle47PszZ3X2+aOaM1DIG95mZPpYRK3xSRWNwFwpLP/07
-WcmY4E+vM1xk/nqdGyBg78p8nb+swGIxXslGmJd2VvtNWud4yDUsnjkCI04rMwAA
-7ssvEWbuqq1a+Q7xGUU9qSruZ2AwaHdQ5+f4Dn0GRXPb5pwabg+I7lesj3UBlTFM
-GeZnjxXtH+FM8BFT2oL0ZYzscpzQndbXdl1Wt5NSnAa4bqsTWuNbok8C9bYy7NuD
-67NCP73I/s7/dnR6L91bb+iP/JPBcxoTZ0OAKrqzOeNX5dY+sWVMA0lEUkWtJder
-/Yhb5BGdz36hVSdbcSzSl0YOL7KIkwIC8uxo5sPcxXCBZptZIG+pZFl7UVbocldI
-OA8qsHt344aOstiVXAR40ZrJtKmoaPB+xJfcHgKgto9NAPtDBlDnozcCcTZkZx+2
-y+q3hYpzsrKAOgwiYxx7Sh/CzlAjvzORfmrSVpyl8AA/WN8Skz1DzIr2hJ3WZanY
-fd07U9fUvy05wzgDROAFY9s1iwXc1d2bigCwAyfLmJa6uZpT+9X5CvObnDKciCYp
-ZXU11sceMLXTV8pFSnaDmWEvdigQewUrM0VU4x/zeMuko3+InDzjNhX1eS/CzYkw
-Tq3sne0L/cpJ6b1pMDU/tRrj9Xg6NjJzGVsx47OpShmwYsYsu1vsyj5vEhFBoX5S
-J03CFEf05+rfN8KzmFqCMLNNY/KIQSk6lsWHwt13HLE7r01KgNeWGarQw6Ok5r2x
-qFVSZIJMPDqFgtm9L3dqmtPb5LWK6mKtl8fSx4lDfxY1wbdeqDoy1GqyFgqe5DUP
-fIL3DgAebp/14b+EusWl4aKTUoZ/Y7hkuJPRLF5IOmh5a2JC7PtAv2d6Rmd8VZUB
-cUUmB3jnLSK8OtLfbcpsb3sHjGCFAzxMBM0eGLwRIplVKibEE499tUFeMDOxlmbX
-dnCH6tPvMlL+rK/4xoU3VLUQE/H7RhplXUZgS3kiLykmCXhDW17HC+myv/lDujsy
-ZLbNnTRyIYk9JxwJTg/e5wYAcnc7ujUDMok75ouBu/WNdBMk7jT76y235LZR1Q7g
-8YjQu9UsuEoCOEZv0uZthDrE6odrn3FPuooBjmHODDVsVTG2enNSmPm91hpkk+hJ
-qB5Eq0qSD0zY8EIxy725cEW3EUvWbA/D0tzujYxkOZaM7pFpsLW3SG1DX2Vt2WBE
-h1lHu7hYJqGBQtwhirV8RZAyHcrAMTGLCTBQyKn5HPwBaUzbFOXGzfl+11sHBT9U
-uwG3b9Tc74hSnY5hoaGUw8fNJOfA/cGtY9/yyidALathXSLFcsnZAFYJDYnbHUu/
-1t5yse7neInLa8aCtJJhczS9xpWw2r3gGKj5+cDvWUUd6AF7umRmPbBp462/wHhp
-08NHIi5qJHWtf6uU2yGdkQMe0iSalW5Zxb5pLjNfPxUbUiHL4LuS73yb5YA/3LAO
-TlYwWt55jpqp7A47xBWIKoF4VUIJnpXFmeL0paBURKXB8NzNiVHKFqwCU81hSefS
-DAwLrzNpItTsutyAz8Yc+IOHGkFiS8xt6XjPE7ydSduqgWikyks9Kdaq7MoBo97x
-htk4plNcpfFmKsdgaRjrBZk99xnKZOEIGQP+hh5A4R08NzyYxkNGfsy7sFrLHIky
-uHZ37R3T05oCwk01f5eTczshwIy6RAT1Xm2+w6qhG5CdeMAIPXX/y7+VkN9l4DIi
-J0k1WrFkA77hRcrWKcu7Kn3EKTcAaS+r7JZLvGlT3FLTYZLgFHk9UhXml90EHHFx
-anlYT8BRyacYljGVtscD9CBVVRKSOSPz5XBekU56YNjLUeC0zlrpA4JizYHfNsRB
-sNEK/uWFkhOChDv9RD1eQYAa4WK6WiGI2qjMrJQyB3QOlWOI5zN4zKKTsrOhf1I6
-BB4NceIFPnvgJ4eqYdoiv9sfOkn0Sit23xJ3IL8d8HFmg/oda/Gc0zPE5clUvEnO
-hD6p6Cz8HVDZwB73uVYgcZ9EIDB6tsLpl8+WFltHbB0bCCl36Pw3xN6/wD07oGXX
-r5BxnvGv4lSKH29GYw9ToLwQQ+TEAcLgmF6PEJy/OKxu5QGzUuXV6EH+yTqeVzMh
-jWRL3FadsDo7fERb5OH1tNgrl4hF9gDYDpsnLWpnEUi+6rFBJXH5EQkKLGiQQbHZ
-adzpkgC0lzYe7N0yH82im+u8Md+/lhAc6vZuODc1AMfNVNgA/JYWBIEddrmrlJJq
-6tMnGxW7Rx1LGoUhWb54OcgNOAwKolv2ocyeWLcjLdud1jIK3v7pXA4he3r17DmL
-p0MjCVALBYT1u0FhyzeXOzIdnkoYflehORam1VhMZitWrpZHWJ9vjUufD15q7XVT
-nZgoDrf9a7/2ydMZZS70n5J/aaXgiC8qB3/LPDvgf0DwRHPb3YuDnDd5VG5SgKMk
-G7yEGk8w/tjwxQXZVm8mGa4CswR/7WZB3wVWbk8+/gko8K67lEJzmwq/xvOr3atW
-+9rrwAuHNtLQTR/36EEml+fzCrfQ7WhEPBZ9JZz4HXXPG1fK1JXIIGkhTiHbSkBv
-orbOy6qhMfHBRc9NdcSUrAewgOSeqHlXCYv0hoeZFLBoE/EFyaGO177kEYWnVirB
-FbP5itypTYMZgs2dgVm3PPW/HaeEEUJ3C/PcKfCaUm0zOnibr2DTtHY1UAqEC5Ky
-xTz0k7HF9c4gtGV09pxvw9j9q9ciuqOCw/xI/1DcWjltECUH4odatKjVBSLY+ogA
-dcENDw6Z0zNxQro3uAg1vEjImtgRtEULnb+EUB9ja/ragEOUlEWmcgxaCVKGUW/K
-loYQF9y9UFmqTcsWSe3EeuG1ATU+dKa8Vx74WGGM1l742/N54oz3hBJo5ULMjy+6
-A2ui6DuQMrU1g+eaZFS65hLqjdyCa98afdDxgLiQ6CLXWoDOdPs9QtHBN6PIAHec
-ugdjdDqHHRxSjIQIOQEOV2MuwF3Vd5O59CiNrxFnVQB9h4kQghpJhI6K1s/1r9Ek
-QVvJGaKcRIFmhSwWFuuq+IVO5QMEQREURS+ovZqPm0MGk6oN43uCL5jd+2UNfcU9
-osvjhamhyf0nva8TMNHWrORdhMEEwEsw6h9xby+DCrnG9BQ28EkUINzfmnG7po8k
-ELM39rLapl8lDQXR1PTrKAFnYxhW2L9u1N5IFgtIOnEpx682QbtVa0/2bF8z2VF6
-w0mgLaimdgOLj1xD1oN19clr8FTjeY1t/rHSooO8oxQ2pZOqzzFQULeRTl7uWJT0
-F03TaXCC5faAEj2kT0iAMhHtdREG/G1ZcBji9Zxb4diWecaEDswBDjvM6YwlsqTJ
-I+GsFniYYBwJMGG3l1sCuF4cwX171Rry7Ez8FexNs8JsYFCmYZckpIqS6cWdRwgG
-TV2BQN37rUBu6VwLdtHhLhFaCUplNr437xkT6n6juPwlAi9776vMgBXi7ntQ95Ef
-9E3hxW/XMAnVZrsxKtlptHI7BU6RytwRRiSZLOlIBOvj1+fGjICewSCLNUsbjFCt
-ncG7QYVvjiwhKrxneW0+9/VZKGirN53VbDx9E1d0uwCYaCANrkLVDtkiK7z0dpBf
-VdsP4pyU6OOf5QVDEZC6usmUAMajWxa8+wbj+jJJCNaPq1OsZ6SvEclPgqkLR88S
-Uv9mMDRz/pA/J3gwNdIjgUeekO/+QpPVNh5U1SHDNye3ncW2U23j9OHcPaBrwr0/
-E+v97FVmzQU4GtIzXpLeVyXnICfs31opGFQAgG/4O3tgXkmJwuegnJYY9QJsFliu
-8GUpXVSbZpHUOswbsKCV4oWONjWJS17pkBNLonh1ybJ1/2RS6lDMLneb1A0iOIex
-dHFEG6IwAUSKgPPpfrPzdv9ytWeHSKzOJaLH1vEkO6GEinRy1Fj4cFdT1ElztWgl
-zQs8ENMJ/EjycltjRE+w30U+LVVQlxucitbEGrY7eAQ2+G4qxxZvpfyiwAEpS+DK
-Rm7qd437bogQneVTorBhhSYBEDjz7i/wsBp2SCLqPRa8CiG5ffuaqP673PV99e10
-DQ/bO6NgSZhqj6wWiTAWHv48gqUpuy78LnCLS7RDqWvMJMg9hxRnBbYMWhGKDfw1
-pNstgZGV9H/r0RjCssEbe/Sfv9gkMq/YydARC7+kxn4q/HNI+MhPowFOy/TJtILR
-MZZuPaR31Eo0yLJvjuvwVYKD29DjGFTdQoHhmUman/a7mJm41SnZM34BwcnyIBEl
-y6Jx7ZlFEjB4q0U60zSw4et5VKTL7PvcJZiq9x5hAJHAgjRKi/Wo8zHrr3RrbdH8
-kA9lwke2P2UQjkl/GHwh8fGFMsae/vHE4ox5JH/TCCsTLQZXt0PaCZa1tDqR0SOt
-OynRXUBkLJoYQOPjXs3Z2BqUXqEikVUD8/oiZMtdPRi5ZzTj90CcCrzI6oaUc3Nx
-mdnLX4d5Xwc1CMjFEnrVrHC/EEgRp9QWaHoJHEXyH2lQjUeWvsTo1JfYvgS9ylQ9
-dFDNoRhPiytLzsTH4QU/z2HTfOU2KW9576KCfkWIVWzwKsKvCOIARf1hzxgMi+IL
-GUI21p0ec30CDgeoLIci5ujHw3aIU/l2/R6rAj15gLJ95kDsEiouOd4l77CtUyKb
-j6IKZxH2hcDUk1E3RRrzgetHicGGoZLgWrgrhgNlsujeGfkQOCQwYFw9YT5hsn4+
-vsglMKQSu0MWhh27V27GHPEetnrJZA/zSx/+ONx/eSPsLQK6PyBrTyssW1ubKQ6u
-mdyVUPOUn3Kfz1Q6CyWTx8FkRMl9YU19vTKFO0B33HXTKLtsV/krTZLxKtDq7R+t
-g6GPJgajqLPFwgPEhxhTebG6UoKp2GgvrxeVZqzZg3YS/aMC31rjsihSggyq5Wku
-2pV1+Dyst0/TSzun3+b3kyBKCnarpE23AB+67MADpml2ifwj7TobPRXRpsbpOcNY
-5o0p37MWWoYzPdrtcQMOu9gxv3P4wzi4LGmOn80IToi1onBVOqvt7XD6RZXbudT8
-sfSM2m37UsHTuzyAb/ycbIdzfs+wF+tkKTy2az7wy/wimqCDCMsA2peGxBXuNYzF
-kFzRU8QWCN6O3xO7f4YlfFRemifG88hZE98jzWHgEFNcC559pOYw+EX2hpLD/1p7
-IeE0t8iy1HeUJcTFCETITG0qxWi/iwZm+nlVxmpQEEU5geubmuuHWUicyMAr5Vri
-u7jrdT9oIX83NGq6zECQaGoCC2DE23W6+KzldibOhpBWwax6Bitt34zgdJR/Cp/g
-P/Ei4/GzSkAv6mt4R9tfHdduXLhV3rXEncoNI/g7v/7wE3WZ0AOCBtn8TmcvlNRH
-hFtTJyJzZu5juOwiiDp2RVXsN22XI4RaUX9Ek6RQdGZge/ffsg4hgkKdMBu1ixdN
-
-//pragma protect end_data_block
-//pragma protect digest_block
-P+QinuZ8WLmdtEPQo9zGEJ9vT98=
-//pragma protect end_digest_block
-//pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_av_csr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_av_csr.sv
deleted file mode 100644
index 343cca9f0ba9f3c62c768de9fd0838ddbe5903d6..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_av_csr.sv
+++ /dev/null
@@ -1,366 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-//pragma protect begin_protected
-//pragma protect key_keyowner=Cadence Design Systems.
-//pragma protect key_keyname=CDS_KEY
-//pragma protect key_method=RC5
-//pragma protect key_block
-L/3feIiS4D/ut2b4Iw3kxQewLYu0hKuHqmbMQBgDm76It339rURIYdPHGVzTbHc4
-YsDlCwe/dVD5xTJRQ2Nd01VZc6sZxhOMN9foKJP4d8vbtuLCZ2MIbou76MSUo+Jc
-R6F7CBJ1Jwded2sUo32LFb4xfDda9Cz2nZPQKfbkNmmRku7S8pDrVA==
-//pragma protect end_key_block
-//pragma protect digest_block
-K23JhVfF2HrXerzTirUyd5dpdaU=
-//pragma protect end_digest_block
-//pragma protect data_block
-5QC9ul4+Gm5e4bbzCf25t3U3zEjPT6CUfiI1x9bGkClAJtEylokdeCQb8kQoqIBX
-+N7MyiZuHRvsc5/bzc8D+ynKAODba5GykP3YuS/zNyPfZuk/lq+dVwWnk41t/t4e
-8jk9JiAn4ONOz1dSx7k1qgyMfLVozoL6lAAq2HICqEisXK1uVzAVex23cJiwwEmY
-xdlHKZvjUU7ArHBdUn2g4B/FuZPVgRFM3Co3Vt1Kc4nGuE4YolNSPu56leK+Eyl+
-NFsRjtzSRRXPW0eGChyVLqV/45j6DxaA0Sg09p5q/37UVcYKsMH1NMa/mw45oN1B
-0QB4yHZ9ndvmRATfbVHUOD2MISPtvS9ByVTWl45Nha8IZefVjsO2Mk5vBSfpNcBL
-rTQS1Dwsaqe/an2+QuxQVfeV1EDfZQGNYmtxcvkP/0TJtNG6DK3SqZtnT1MaFOkK
-gQIsI2U8badfMkuFh0h/cbKufLFdfT7U4YItddYSWQ2atoodZgopenEvG2maoxEA
-RceibzQL2EAgJQs+nGoEMLX06811OY1/399DDt+QFJuJj/aXL/h2XW6v3R3Ra8Vm
-KWb/tbMF9XzKftnBv+EZfM3jVhGUizUJHlF+UbqkQbzzuPNaQKZ8EpMs51QHEfrt
-JeaJf5vxzadA8hk0oODjBdV2KY/Vbsye6PSMBpGt7zsSnayM2MWKTIz0GTSjPjeC
-fkd8p3YujyBuJh7K90X0+9HHNgLJZpSUwb7jEvGMyuT1CaDEs+BaDXt47p/S1uwv
-afHqruqPOB4oyPxyHe23wvCOGO9Q7RtXlKui+mSsboSQinHoawAjWeRRg30NCXb5
-kTDHlvNp0+FG7tEIFonA9gUYaBFQm4JuROTRdbupw1ZjZ9KoZF3N65gXllBCnxyS
-JuW2/fdCJ2ARpINKkTqnFhQpg1YpAWz9P0bja+KJ1RkVVAcDKUzjJ+zn5WHvbe3U
-tTqPKX4AJfDW7zph0c/3z7uYs/ZX+g+b0xCVlxtIkeZLypSXI8vxvYcU/SGDfz6a
-45N9tCOUZTsxWFDRqp0imKrcMnDMFou97YdvW7PVyPic+np/g04lQuhjdQuNJdvF
-Yh2S3xE/AEVZROZX80jm5aLmEB54OddSLktCAXCBl7iCRLItcLRs6GrNB9t4+5CG
-DQymLUZAVnUeiuCRQpCt+KNXLengk49zehf1id+NOvdLjR3E5Fg/+j4xfEfKkLpT
-2ne8pzEPdH8MylTk1e3gP87pB3gdBTcD0cUvR67jK6qtuHc3lPHhb4zsibysgJUm
-pifBJ6nfcNOwRjtRWg6TZ14716GmdLKr1CF+pqJ/IxJzvmTJtgeA8Al6vsPPtrMy
-Bo9e+jWoomLhQGYWw+7j5EbjiJ6hIzTSYL4guF7aIFpmrGYCeqmAdsuKIs6g9nZY
-1KVFR5EQzI7JIXFIQMnN1rtnuIFtz/epQ7DKk5vPannnR9NLFAUxHVelh6xuZzFk
-l6Gowk7ojtIUlYMYcdldl8kTJcNRE1ieoIUZRtvxyfja6APlbO5P1w6JM/YAEnTo
-LlkZt574h+dAUc/PPG0sYQ7YEog1fjHGchbvscdpUwwVinoMgi3otWXV3He+ZWyh
-TL+ShzrVa4JIr0O32t1RlDIi9A3g99AFyLfd0LZJrQbJMhCBjUh15q6DNDNqm/wo
-D34f3KUemNMRONRCtsFViTswDHQY/bKV+X52j/sSMXoJMxTh70dps/uh6WV5WJdY
-Q7Tdeaxzc62b4suvuyjJjLEgnA6vVDsG9xWcXuManZXG6BxzEPI3bLko0RJrAK5K
-fZ6USf/tPTSS7u5vIufaEnAsqINOtcM4Bn+EDgo9wdNSJjQXrMwnqKGZmMDmNI6U
-qacMrepQ8WOgSjnDPVDfDEQQyB42h8RkhRDQM+LqvLuevy1WDy4lWZHaS+hWlggP
-MQGMzxYXLiZQYwPXTTQPjM6du9o+LsRTTzTf7DR7j64owTCOX3JNeObL0gMxrb3m
-lu7EpcTJZRxtd9SUpc1aNnhLsHEKuNs/HulFUTXPjGZ8gjlF0C8eQv/HlLUp0diW
-vkW+htrFB0NckFRyH7OowYPHG++pCSWbGqkKLzsxifKJBtS7dzQgZnJa8nhhyyl/
-ROCQOFzJ4088VyPylJzpLmjaOMKL6YOSgJEedtoXL1ve8ea8R4SPoJc85ptHh4ou
-evhnKCZGRSaTiatf3Es8ZFirYpCjDFgzbUuCBFr8SyEmaToeu/nbi3C8yWw59+kL
-GDdFw+MgDor6ehsDWP8S0HOEMGYR0WQGYSsUEWQg2MckCw2la1SlGUFWrFNJ8lBF
-NSOwzq9gDaYKVlViiG9E7C6q+jumGrmHOAQX3kCS+/5MWZaEI8zp6vARBKNSAH89
-K1del04AmITRoVJk5E9FcmniQn+IkBgvk/zXJojNrWOSKi2/dXpOgqFDRKK53Lz6
-Tl+TEXMTOzM9YseZSxC7lX8WjuEl3+G0uz3Hc75l1n9O62jfzh9w+gjekGOiDZDA
-rc6c00a0OSvhcjN4aEeLOYAPTWTkce6JHq8vnfQuK1reQTB/BTK7beFyrCTVxVu0
-tVicy3uvOgP/jyn21EXhWg4XeIa1k53ecoPtPLzftUD6COM9jtA3yPhH16Hm9a5M
-S9R+Zyarfet4GQMYImFE3dit8pq1yq42imsDGbIO06pcZhIvTCEptR2+y4o0X/q/
-g3KmJ2WThAMdqbttcegr+HSgYu2LhMWozxv2EuDyUTN7RYvkM2SROu788JkcnXuu
-EgMdGj89ca7efSsfPPY+w+vdIuxytnst9BkR0aVyv+hDNW+YUmV8E4WiWIHlmaSh
-PL/zWE8lqR0HfyzOw1MsyHFo5Cud1NdBMNFU5rq/HKmTpMTNdqBdneivzTJEdVNu
-20NDWK1EpLwdof22qEImj7eIxIxGSOBM4DyY5sTq4e1DGcVaKt4/W4+PIRJLeR/W
-UYUGRPPLf5guKpk86qf6LS6/hq7U66kOMpgqSR6JOYWcLxIsWJqSV++PsQ4j5SyA
-JmGdjE4DKIjHjD7hFoVJANYDsId04XH7nhrZR2uC5g7yg2rlbhGe11ENFr682YPg
-/ximdM4rhOEEYnMdacn0eQrpjhGCANzmEQxq5XE/aXWHG0XAXyrkKqiZYBqKa+Z5
-CJFVM29moE3imR78fWkfPkLa1G/C5sNCfa9wVSFGTzM2z+dX2rEMcrasaeI428t6
-0c+BluB+6aaH7BJJ1lgHnMNn+dSmVemKKYhlJuB1oFMAha9hvsH8N0NFkGaz34tw
-r/ounm/w5aLiJL/CVZcFJ2azmlB3jbdVdQdW94L0R71Ffe2ls91Re4q9M2QOgwcn
-C9glWt7cl0ql+RcfZrmR6aXqN1rMtFnMSZoFLpPpRuA41JdIAGHtHqDUR42l2SRL
-EzivvtYThCN9+F5k3FiF/w1ViCbnNuMujxXMieOoILNgo/HclPEemECQqkqzE4i1
-7p6nhdepiYJWFkvBLtlpZVnKOSCuIUJUxYQHJn3mcHlh3wQh+qJ6SimAlxWdg0Yp
-w6Rog0mM0KPpPr0TD/cZSdLjZyvOXp9mwfLqWhgCVFxEMmPdQkg1Idtk1vSZNi7m
-E/uVVnlhqfIY1an4riQ6M1FyPsTNVIgvJRA3bn73X1LAvrIhxZZer7jJeZp7DQcB
-Ec0eLyFjMmEo1nthc5TVnI0XNnMKY4Tuui3Yclnf1ab7w0z6EVTmhD7U6H77/ocs
-BEq0jJX99SMkM0JjyDx/CN/UQO93YDiRiqtHNyDMloNps486WBc8lOn/zE6If/eE
-VYLY+bWQZbxrrpdAOw0EKWZ1D7E8bklqqJGXFuyTLLlF4qj9e6wzkUx3AKePclVE
-H2oidDWfXH4Xsb08NGw6LSde/iUwdPQpmKYOuI+qzL+D5o6SSdLVzR/RRpdGwd6o
-HE0RlaF+XB7ebd6rwGf/ITtrddTqjxEySmNJ3nhPrVc3PR8DlOOarBYilYfVzvRi
-kmHIEXdm0QKelZm07aqzjRc4kom44IXM++Bgs1K8ByUHb7hrPjcMdve5BEQILVu/
-KuUVPRv6QDbkosis+cIa59gwIXlZrgZWgDeispHW49+/nOAxjVtTBqJiGztoO9Ru
-dLiLU48zJIVd5/rPWJPoT/a+MhG0yNpRLKW/h0u43nqX1BapTLdUgO2WKxxonBVA
-R92XBSd2v8lZ3jjylt3Nge4IsXXq86/RbfEUN98CQKa03JeduMX33IO8RMWq1mj5
-TiyVJhW9k+6DctaqAX/IFRyt0jXKLd0I1uwANEuU7A1Vri0ZWjrHwPd9APk/X6Pv
-EV+uYYotkWzRpW6h4AHv0F3VqFmv1Zjn+fQ/3oc50INoohEhRUbIaEDtpFnWA+9w
-4S/VQeFjfvkrcaurJnLv8wVHuuKD2RLhKjm1fTqE7XFJLETpi2siju06R/JNWqpp
-xq1/TbWqX7a2oehBw7oCVwPi3HFf//LL0xnt7ypwiAaxa0cqvCT0OS77Hct5zxUJ
-BDeDIAwx2Itt+jwGko43d0ufk3p13pMsTljDPcuZwRXJgTyly8urYX1bJXVUQqA2
-feFQPqJ4sHfTG1FYyUN/1FOc6z20JwD4ECOyVrKp4pEFuY6N0ahFJeOU4zvHH3Hf
-oSpCW7NqjiXYcuAz6KZAQYxC5ahyclXJH82Qx34AsGUAAIJIKKWUIvTqz0qRGAZu
-aqZ2QaxCtDVZduRUh5KgNc2EKR14oth31CdFWH7wHaN6wZhtWWNrSX8o3nim1k64
-tVSs2YXXD2LWHW4tdV5Cpiaef/1wplnsIDT6Gnw+0IlkEhoHvYUqHh0N/C4ZHkcc
-G6xP3H8E5i5qlcIKA7ElK2S5HDPgckLNcFRr1+sgkWzvy/kPEH+s0Htu/RSLIkLy
-TfTiVh5lhC8W7jv7WE7wrydXM+mGw8DCWsu/ushshAsOKmf1CmX444C0/fo5fScl
-6gynXmkJ21lrDRcEKkyHuTKKhx9oc6ZtkYDXnpmz70iyd/wU0PKswAx5Aiom5FMB
-zGVRY7XdjuopUDOubQ7Bm9DL07aDcKaVd/RJ4C9I8TNSxPExEufOijhpDA2XqoTL
-V3IQhKJ9Y05GsV1gWV3jafdUWGkWqs6CKVZrE94KvUwO8egZ1Rxc9r1X+GnZjTJT
-BxTwf3GPqmrACsaMj694PU7hrT68lzAxvTOVkyYpqEXpBO+Dg8xIYWEKEr5uxLXA
-8ppyi6vvQAjpxbN88Lth/OpG1HbDjUZXx/eJXnzlxLJ45iItI+pOaRFWD2Cxk05A
-El3Lw/KoAIA1Bnk6J/Il+1sS38qoMJignSwti7L0bNHEvaj82seSe6ZHhQdoCv6G
-CZ9rJKudbYAzSeUqTAO4OOs+94tYTcihhIrk88m9wr5gTO561PYjkR+LrUvQeELu
-GpecyoEJ5q1G0ISKhQwmeITtvP2Y0AvYvpTlyWH07eUaSNrZAI2EXhuSMtaiE/k8
-iar2r9hNe21shL/0LxWn43QW+JR7tsuxfoRSotSkkO/3ZSGxyPhhaHIgHiiLjk6U
-O6LuUCat/G61qEJTNEAxRBXJjR0LPk//qneoim7iEzOTBB2U3bOfpC+x1LxCQRpy
-o4KfnFfuaIVJlOwmfOXz9a22rnegD0bDytA+917b0X7wcOl2uorKQHWTfEx/LqEc
-KNwvtN6DQDGh69GLPY1jb3Z7OJ0tUED3yqAqZcMFcoyskP79dOHa3hrYjgbaI1tr
-UXukrFFoHPKLIrw38VfFDTdR8NNB+zTnrF+HMoAIAc1EGAUkSK7Jrie78eu7EZBm
-kkD0UYLBJJWcewBj3s1s2xZ2D+Nn9Q51IYQGaIqvHqvG9xNm+30gQRMHmahpa+MJ
-c+Ss3MInaNyJe+sNFfvJYbkSYVLmIeLD3TFOlvVMi0SBRkzwRuSeDS2ECFz+64Q8
-1EVz+GBIx8UExYaqnDGj64Q7qiBxn3ds9zSoqLexZF2Lr4uZQdYycttEwxTK9gvy
-nNnoAicMxBTFCbNWDpwkhJi2+toSINQopPNEgqXse/5aIpT7sYeV0k9tudkDEt/M
-uVFJvlRa8rBViw1ylV/H/VvR7LRRJpKrZb0pDnh8JoxURLfnmtXta101CWbds7vA
-juhX10cwnQC1iMWLCVMCcQE9/jXUx2z1c1rFOn+sofYO1laxB528k+vn+6j90cV6
-16U7lZqVwYYgwqaDulV6KbXY3rt58MZdI0pKntGLdCRwm5vFkDszLLjzV0FfTIs+
-cUdyypZNEiHCUqb45qcvxGw9nFLd0ooAqFAbcdRljvzV5urtErzFb1PaUXIHIPK7
-OGBfqaZHuKeRJtP5PwkKxQQvxYFLpGuowLLl+nn9IDPtJN/3yKLpDGIBv/KdlOd5
-xHTzMQzyw6xlY7KSCF9RRABc9Z4TqA0iXA9+yQumfi3fl74Mhliv7PpJXZvRGSk9
-c+q2+sdN35JlpSloBH/EKKH7Ty0CU8uezAEKr6VjLPJQ8w5OqjN9p/jzD1sJbjUj
-al/0N99g8/5Qj5+zGWUtjfkkWsHp1GjkA6NYISQCmpWVfIiAfZWjuLbgHeQ80Mnq
-NkT4mb75n+avxZLd/+9TWxxvf7pMmgvYGbLTIUZqUGIVjTIS5/Khm9ViKQ8XFOVi
-kKVGaxVqCzNyZlwy4q/YEbDRirxlk6W3ltotjhQS7287kHu+wsLZw2M/oG8sOXYz
-zy0jBOB4PPWU4r+E39ZutgeqrZdqUwp9lbviojLqOJpb8E2MmwRqsq9QjC6cwiwF
-Z58twrXZKFrJ0o+aHdfg3FIBxcp7InWOKnmHzLVaRpkf+Ez7p5RXRv4dZSv+2j8Z
-aeCsN/3W2TCfdqiK26/sfbl2SDJr83X/3D0DmkgQXJb6JnR5N+wgDn9leTSuc5XQ
-mPOFxy5DhVARHHKk0MVtZRprm3gF4BfLq5a/Vzru4ujaynwuv9rmQqn+roU1p0fI
-t2+6O6yZ/nq0b6DH1DzljgW8MUJdxRXCNOR2xZx74DwpC43PJZ9y1yURG+vaSPia
-vpyPIaOVnTq1ZRMv57ImrnWMNsio1bPRL2f/UDHV7EywRVI/u6Q6fXD2FJqvs5uU
-smJnpPqNsSljxGzMO/jKgYajcbhSyYEI8WTokMlHI0Md5T9YnBa9minTpHd72YcT
-lL1yl8dzBFb50mLS/ZJdYDTuDIaM9GCWVroBQuTs2UKHFENg1MUTZWZUw5mUGewS
-6L13AKkH/75jrcalB2RuXIV7LNXDGxkRAz7jDzeIt0REmIXSOYBEmDRII/AONuUj
-bqPG+2ijRCC93LlSSuyMndTHYH5hXwLQKwZqLOTjOVKypHwzQgIrGm0xx1vOX8JQ
-PCBeSHSxtsYNhHdnHfdvQHeHZJLgyl+ETvPVhjNTo1JX5tjt7ScG1a+MbNSBhEN3
-ReV8fsmVQvaLAHh7zq38RHc9FjdBBOblPIIVLXbGRnl/4EPDzBdgs/b67UDNfYZV
-ZAnuMU1p5+FuKUQjAGWoqVh0+JPq+IsuRSYJSoRG7TjjWz94XM9KijSWkW50P8lF
-D/k9eI8OiQkfZKE16I5JpXkgRD9IgXcawYIV93M8f4epo+akuBb6dMnNXA4fk1ST
-HM4ag+6QWbPsBXdEoAwQIGspz0UdabkieVHEAMnfDshCUQz6ATDvMH0X/mpFM8x8
-K2LJ2/MbUFthl0kQgEB8l6meDnI15CJ5EM9lCjqPdg4pP9ey2IKzGuz3XQ/eTt/j
-0g0x2avrxThpgMBh6Q1HAycJFzQTmscn127KrreFH28qm0YnQEPl2SoCj9eoIDeK
-xfnZg6FbWX3P2pef61GJ6M8UY9U1vrgYVE4vWGpzE1JYhOX+lVNRjHH6SBGhuGeZ
-hUJpbWY9mVzj6ltBxRpw3ipAsmCuHTnAvJ5h65uuOvRlFzeCKI8ZUCTi1doy3GBX
-/TJ3RTe7GJTdNMTUtXnzgAoAZg90rA8ENsgULcNXnCEHSzV6DqE/TlX5dmS8Ld5W
-xF215DE0rl3CHYqcF/Wc00Hdte7H3LKXppEeA6IkzYzAQaqC4Y69g08gQ4r7E5+e
-a/NAA1lppbkiFaMFDbEufQZHagovUikwE5IzVD6JhGW+REecTwxkV1Zyb5dhZgMQ
-JBI/eqUPNFPlilkywG5NzGPBy9BJvxvqCNL9SYvwqeRuNqc1M3EA/WqvXbQPIwWg
-EnOZZ4TwIBiGb5Y74PUvQbisCW9PkqYSMGDAiO07VH+vcRs3eN0tRWdXeadLfncG
-qevKNTbZ2WtdR3N0BiWlqdLz2kp1EwjmVGhUzrLbPOKDQmIzCw2RQggi27jStIVj
-NXAoeEgzv8oJriZzGnyqlF/Xnx3xMFfvn1eX4AwAsY8DDultiKOofsZM766xeoar
-WWdhi39JizFzLaPIW1o/scs1kxj80puhgd/DF+g+FoI1/yFWu+Ngm9fWZRbiPYfX
-dwDSFP4mjIyLaVZCsyCHO5mmHvQzuXTTFvBE83FaYel2pn+5WNWbc23gCoZYhGOX
-7iKLPM6CV7D3ASyCWakeazSFlQhkjFOywcVhzDueR32ZZ8d3rsZTnmdR5zAJ7g1G
-R5PVTVvmiv9EvtoItSZRlcj9ENx1II1HQ/AW3EcjqmTu2zEPDMyBgMjkismIW+Ql
-YtHwNMe1Jspr8z1b8zmLz6W08lv5DsaBL4w42PR3WOq/hkL3V4qgUbvpHXqrhp8a
-nXemcWJKcwyo4FSCeNqo2QW2KAclBh6I/dhAYyg7YStXHrAQBL93qofpa1wKF6Tk
-TWegPQhrpHMnyriklkxyxGLpmBBvsLywOffpdqsc5dsGctDZ/YSJwEHqe4jkUyDp
-GCrm73B2xOHalQIm4jBNP5lOCufgzc6Zi6hEe9bTDENZMFs307T6sIvhI194wGiW
-uy13xfxqyGemTiA1MclkN+Ij7yBZ0D/XMgRCDjggPqkImZGIcmfrW7iRdWYXR5Sb
-8HdWSVgoG/aNLgNjia0RZf0QUQga6aS7oeRleq/kbpawOiqOskBD9Shr33QfB5d6
-a7/KF8B7MkkAuxgi0uYofgFFcVmG0xHg2x4u5ukbxqs8KavvRY7izDWeVg+mp7QB
-dWb/0m74iyqQP1OY3NX7hEJNziUSWfosWc4Ic7LTLzbGNnoqwgqbSLFyOYs4SHh2
-pZQpfSmf1d+gWs5N4wJbroqP/ZnLxBTiAcJs4gconstpuDVqD8V6l6ujdfSeopoq
-5zDcgSEp7EB7gOcTzlSA3JhYXrMe1/NqxwSUp7WBHwKoJiKSrI793PtyGoeTXPv9
-hFYIhq2C1LM3fmErLixcEAHx17S+gP3ZhQIfB1JVV3PW6eHnXuASrRfUfvFEnwmx
-AN8Gu+PDyJQZTaNlU5nsF8fbcSyT5Ji0oHta84W09GEiTyDrQIJDcxVXOoi1/S+8
-X0bQ/c5xul/xAoj+d8ZwR3WgaFRgl3lOB5hAaFXTkAc3lMYwvXAVkuXrBRyTIn5I
-jU1MlnDEJqvjbNVT17YgREbHyt/YvLCxpDE92Ek8eqgKGobluHLKuGrJ9/VNmZpD
-fhZgA2/YAC2e1bHLcLWJJUl6b9lzPm5p3ZEpr1L6V8YM0jHtK3ubC/Y3d07OOO0r
-8p3kxO6OCtgR5HJ+heWOMNJNAts0SbMGSckbjwq64tKW2VgXtR9J159uUp6t4ub4
-ldSgiRDrSc7Z6ehD3JBbwuKmXRLexuc4xmiWP5VO6O6fbRFdpUc0/B5ih1sCZGqv
-07rC65T0LDF6a88bGG42tU8A0tZ0k3EtwlC/NESMSdSOSYq8GrQvUJHM6AMUFyno
-iERe7IAAFe2JKXLzlKBAp9VMyddZhJKq41MxYepgK+CM6jpvwvOU6kkP1fEIYENG
-0moDolRmZ41w2n0Fn/3gZD5HLK5jKfneuEYxg0KnCvAH1U50D5zFmQtC2cvnIihH
-wwTSXCFOdcafWqe2oCCmzFvBF4Af9zg5iIEaEzEmFST1H2jGwDfjNN/qlkG/PSUD
-yYmCM4mKG5uG+axc/PsHZ+FeEuYEJ8AAJPd4DAEO+vvJBdgGQx5Hi1JHIK+rogbD
-40t6VmJPH2Qwjs3JnLET2fd7yhRn7hQxySBrxv7i8JH318Yyj2HsMBh9brm+Db4V
-3PwnmptCI+7KhCEWB5Px0wSyCUNLmLq/0YD0hHqNIi6EsVwkwItY7IG8pfer/H/Q
-NuXbDyAo+H+HxjUWD9WUWfYqLSsRLQ2ScJ+ldS+/wpObthbrPKuEbgv+qlve4Oju
-sfEbFQ9Ngv8x1Tl2n6X8LYpJn+1jDwWmal0Zu8am5UUoNtQorTvq+tlr810j4abs
-nNJbKC1yz4bvPWa9fR2sFe4938f5zXibSjKevmtYdQyN2Ho0eXMn7UrQMp4NbnQN
-iFWvqlv9IF0qfvApS8io+t15fGHoo7ewiPooX6thACj5+/Iy8Xk/CX16ghOtBnkb
-mXJVgPmWgn6Pml993kweCZAxPVxgLzaZfWAzse0PtAtPZAqD/dmofmvA25GQOut1
-S5qjCGVZr4qW+DotBaY0JXjStyEuuokMW54h4b38L4bBYw0HOASQl8dAmltvNMnV
-jdwh/pXLsCtNiT8a7IdkHJ8BOElWB5cyL01rOEEPzGEhgXCOvY6Iu3I6Ehro0fR/
-uotK89owYNm0AhQ4yz8EUmzy1TOJuOwj1XbQxIVl/TFI7Yn+3ibM3LTql1TW82av
-SbaG6IVRwNs6XxWESXw8xq6UQKCd4p2Ds76L8vw4OuHPvscs/BcH+/HPfDahgZqK
-Zy82Z7FycqnPOyxROOEaKm0wNv64h2uW49SS7UjUM3bQpu3emqQqmisM0EeahWuh
-uutVqBaL+LJm+syQKis+4OHZNIvBZdSyK7z5X4nOSIIBQfY1pgiGUkwgqTqxOzzT
-27ZEWuyV+0KKplI8zJskgF8W63hSiG9R1F663bXXYLMYG9FQfwRwn8wh/wHiIyYi
-dbEcv884SSEtRC0wG3Y3ZoED7njP7rBhzVAaSzhatcnKWCNOjQMBBOx8Qh/kviyP
-LnwF326WGm6aHvMCExXAQg3hDZ4r5nOIIMywiNeycyKnWjIqo9lcS7OOq5Ygp9wN
-F+pB8aeUppjpnSyhfU0jZ/CNFHgkbInsj5ozDaAmJIYV1LGQeo+5md7b0sZ184vb
-p83bGsRgMpuWPWHxAW8V2sfHp0LON/b7TdVwJzeyCGTnS6pt1/qlF/SNJFwcJv2O
-v4F7EVoBdl3o2PjTdettTRquumGtQNarGRLyZ0gBFWFzZknT4tYSoIMt0RIsf8fk
-GvDWKchAIjUZ17tkBFBkpcZ+PfoCmYYkSHBQkQSpM5Uz/iSNPEdUALrA6glAkO7j
-yJwYltMpfChUdvmYShYb4uBzrbm7rOAdhg8eEajs05CatFpSbSHry/on6W8zieqq
-5RUUop3WHQfmjgeGc/tR/ZBtchMD2uYIk+HlWuAgoF9YY0DMlXu558qRfIRnVri3
-VKjQiB1Hoqu+6h3hPueC3hhrgwgfqxooXhVXrnOE4xJ8WjXf55+6PUxVlJPE7wcV
-qWVRKFDGXhRfWIkoP9GdfUsd2p825uS5A0EFpjuLxH+ooRvFLVjcvlwbwBlvr408
-TNg81DR4tF1qsEwT4f0DRtrh2SXd+W0M7wt7Sh7ahiGGOf5qm8qRKL7ypj59PjYJ
-aQSbRZoXAvsMqYBeJpt7oW+Zbv44wgZvUxB6KfIeHOICzVXEME/XBE4zvMxSKWp6
-23mFl74gr5FwhF0mt3ADevPscWy1Hu4cngVx2A92vXSVcqs7Ikf9BwQu8B8235OS
-Tx2mZtA6ZB/9bLGbvqu+NLgHQ4Q4+3BCLzHNFbj1hZSyn4CmA2J91HrDa2V86oR5
-VyNwWW3NsYzMB8hJ3/AbVOrmJUAe1lRmgtpifeITAejAQCxBRTwYSjcl6Nx75MlE
-OsjAZS+wHC2qQxSIxgYRkR8XOPV4NEs9UJpXXmN/7cUEqxfBsCbeys1EFKiqnoep
-eGids6ZNrsVKJSpkPr0BF78NmPwmJ/AmjCB8AwNxJ4+kK9KixNFYsq0DEto9ESRt
-vUkFKg5z6RmQNMdr6B9WEBmSdipXnbw6Mo1w32nURaqabq/mow/3l2ubPhBlQ9pj
-bvjtZNaizfKcWWBzW6nszauEFUaDa3rbEvxu6H/7BWDQoz1Al9l7jL3vN7fp3QRG
-gyuxiCIAXhOXnJCFyNtuF/0Aw+W48jmHA56q3DvNfCttCx++XUWDuzeOnwstPKPz
-nL9Oo/L+4JZIwt+bgU2fj3QSKvzpFUXAwlVfR77esIHeycwNOn0O5aSiJGakZRlB
-CqrKPnVr7vIL+B4jgOpYRBaztIJtBZd+CDb020LBddspb4TKbJGJN/Fxx90er16O
-b+ejnYLNJ9lgiS7IqQu6bRn9yFyPfNyY+sBoInEDji34dDACAjO25eVxo6NwRGBt
-1yigYM/o2Mh14YzVBIv6AsbdU/OwYroihPxV+//PQLouTYHZ0DmryDBSbU4n9SoI
-g+G/72bTnDIFcp6L31HpIJHYwtGk8AJ0aWULozjvsMLy9+ZDRYHaBNKLdgFYbWF9
-KaEQy7VEViAKyp9tbrTMRdZkYJY55h2R4/LPdkSL0WwOgE3FqAF32v2lTqapS9ON
-pfzXxCdH9kHw0SUwH34vDbvQhsEH2nbEpU+IGK0doRkfjMPJ4eTKKM5ljaVRYys2
-E/l+js7TZ3vfWw8oezaDJuLachqiH2UjGEcfgOCk8iKxQvvCBKwCCiy6vIRnP/n0
-wL/kZeF3u88npeE18NxlTZ+6kUwGV/hfIozyszHQ0ab5v4+8feMFG4A5rjEqaOtE
-ld48cE6UtlN/qL17M4f4pEQ4SjKLDiKoXTznQV7i3dL7Etejb/aTvb/mezwxc113
-tNXjxJPqtFgkrbxy6nhsK3ljCuORNl7wQT3TaWcEwCkloQRnS1zXQwvHvNCX22Fc
-Cu4WCLHAaIgVKGXhw8tr0O8gI6IfeRWDid+Bq2np6hUi6HA/ZQn61vcIBJHiD5La
-svMVAuG1bdWYj0HnVgde34pWbf57CZYmUqeITIuUtVSFCRZBzYVaX4eCfRTMoDJy
-uOYX0OVxj/RrmMMY2aHk4Dq9uYsWXOY9oKSlArE71yEbstiS/ruyT2bTSCWiflpV
-RY2Uhcs17vC5lYAZy0RaEiuZCMBofoRsjDxb88kxuSLuItKJqCibQCq4xE3ytqO1
-uYTBz1h+XYRAFDxjBIaO2ReP2gikF6MhdMKVBqw7HqsPhCdUs43YnkVCakoc6ZMt
-/LZ7uMOSi0cqDCZCbKD9H03QO0iH0EBHZzxGggUa4tmgmy7xSYAIfHHS9W5q4Iux
-ZY5CeypVL6KIG2cV5Hlnmu/I0Ozvydm0+qnOY3HinuPV8sgDu1U9XjlWSFNMpWnc
-y4hyFIZZmFP8q58td7hIyJEMihkssZcXp8rcyQK/v4b6v3ZG4iIIYnQI/2QVxymO
-Y5gmze5vLyGKO1CSLGFksKH/NEAZZAx3ONSkrfXZ7+R8WJZZHLnV7Lj4BgSAa/3F
-pS08/QU7Q1lwDvgZAYRQ+90e3R4pWIIMDnfrqB/ckgH4x7b2pHBDkk/qIdNtR8QJ
-TdRYY8Bj93eogAFq35bvLU6Z4PcZ8qmPw9Mf+RLEG5q1Rls2OWkwZH8gt6AP0Mo9
-PzevVr2kaHV3C+7la87xJiEAcpDEQgmIEgn3Xrr0skzfDWpassR8bS2iIzkIdwtA
-zhVvMpP3eDlD6039nBAUNF+OD03o4RpXBZ2DaWZSpdmqdkTSMTUwcx+TTLLyBFF9
-94ASZgvBJqdlu3cCyCtdxsLYCDsLUNgAnCisR3x5v8Id1oCAsGh1K8dd/mS0sGyt
-7Ed+i7vgv3jv6BtQtsUPw3Pf+cXFQyeHZsPmsWg0fm+r4r4Lu+KNPHbye13hyItx
-3l1qxkVAuutv7xfjPhsqvCeejEYJR0YAHqpTnnAjNJi/Epuup1ZCB+y/WmNHaGRK
-1NkUw730HlGhIbs3CoJDKAcKf+rYjHCoixYIeV0Z0s/foBLCiQamVCt77qY8F+nD
-0jchZIJSuffPUae8qImoqELsS8OazUxsdtpezghEQqVK0qgiCnhPKMkTNWxAgF4R
-9XtZtDD9AfclgNj81SIX7zyb884oKHwAlOwqWSnfRTtLirieY/HitIDPVjcYvtqw
-bSOLYia4h6HayurR0Iq8Mg8FENeC0ObcnhFFveamxv8Hg1kcBIYcgqxqkzBqi9T+
-WC134GNJ/xkeE1JqklbBFVMCv83Coflc/R9PPlsITgDY6jyenUSo6bcnahB2eWWz
-MaWeajUOQJRv5GwGJ/5055d8zKUEqkkJvBZnGFbUIBsbrjDI6KiiUwPTkba24vLH
-vWklNYdMuLclKVm/32y2E+erFO4Y54pMLRRsCAr5rh1aw4rGeiywCkHqbO69SiGs
-+IIaPJAFX147JFHeXxXHjQPX9Gt7QLcSeHQoYAqUUUU4bZLoUHrCq8npTzvH2Ozr
-djEhaMeKagC8YKGXMZ83zNzBFS7WCSKOJPLcQtOXU8oGIW7nJwdMcMKTCTfmLc03
-A1nUPlv6eWZOab/5GkhYRf+avUgPrJNX8yDsD0qtHqeSwdNFLSl6EiS+6Z0WdaoE
-MBnhMG+UhCA/zIU4nYgJfBsXulI2vKujnOdlOvcwM/btcA72ilUW1diKcMxhBFJd
-TM0XNRvXB/z6WcD54OrclQIrG3bZraLFyGL9SJl4ylMyv91lbf6nju0X9dS8w7Cu
-Ar0ZAFsmsv+4MCvBHJHuL8X238IDd2LdGrgkfWOwWYLTwy8hFzKCmnbh3V1YbqWe
-bKglLrvkxEpg4y7ur4XI+BoSEt4xLN41Qd6BJaYUBNQ8LBP4Y4dA0u1yTctdzfwL
-vywRKu/NqvRQ3LtWyZyEVEB46qGNc/5q7bLmFfpK9e/vhPag5e7mGm+lSMYZBCpJ
-kWEU3YmZHM2oKzGHS7mXZ1tD7di0rAg9BwVIySM0PuW38uaJh/Mpt9KKJaL//pU7
-swwnXZm5+ATivHimHUEIf/dwtDoJ4PkmD4IzbdMCzj+WSYW9ET93ZVAHkDTXt3vg
-q7BGGm+oKRa3csc8PgYeaQ9zkX0q+k/2TtNb5tnjnybEnfoG2M/s95Ej11npcSLR
-2YORrLM6XOnbt8L9hq0TkcS+Gqj/7Mwdb25rozegemKIGalB6gQnycTCtiMv0tZx
-7PA+fFlndDPrQ7idQojTZAu4s7GG/9LbqOutHlRRacZZnbnqKuPS4fuGqZfuTf9/
-H9lFLfhcgkagWzpfIze6RLTq8hbh0mYZUWrrOkTxIO9Epnw2YHqBn/4NoJBMqNTH
-92bHHwx6zNN51rlgFBj+QdZlzqGqk4nBeB4K+2qhbDUNEfcdnNSbTjLvV4GT3nWM
-c/YfUw8uyIboYy450Er7ouajwIs/lYc/hqvqbfi21c5YcLGj4ik5ytVfxFnVFcza
-eiE0NcqX3TUJ+dL0STg/nhK6xwS/uGl/7o5ilNb0peiD92sa2zxephz4V73uFNzu
-K8V4o085ja+y2jfw2lRipYJIQJLxm0Esbs7SrV1jwDiGZrVkCnmS1jS9BUh4nVca
-3IHmos5hPXnUsVr50PNb4Ch4JOvNPeajHtgvXlr90lxi7RdRP9G22jmw+1PbCR67
-+J/9gL7CIKfsGRK96qEkmU+oRoII0mQI8+6bwriHV0UwlVKoPI4Le7qmWOSyR/DN
-7FY0JT+SP74hZx8XqKmI01rR7LecdxSoj3hSX03eshVwLz1zrgCiUJensdQ6x9qY
-GSjRNptsXESbGzxGRg9nxV0RwL262I3dHJQw5E/o7usLUJ7AcOkvjj+dVZeaDE4D
-/JAB8ITVaaIvS+Tkr9u9b8ekCPyaV48kQ6mhrj65Bg7l6NWd0f60aWKpAljeOZ8m
-AWHNed8eax8x2nu4x2Ho0I2otnXTuVIDhE02/V6Lo8W07JB5wD2n8lt9ZGULsbvO
-hKd4LwliQimfdwaBYKmH5/49J62y3PcAWVDJ1gN3qVHBSBkN0/1PUkHZMh+KVO+n
-gxQ2Md56nkuU2NMuUu63VZoigjAPKiWy5T6bu1Hl0aEzEqphiAyTZAlfkxw7V/Ny
-kDZsDfsQSLzgqFzBn2vaSLTfymXEviSNlk5a4IcAppPOO0MVsaSjA1aNxDNND/mf
-4dgytiwy6wKhwUSDQhUkngBYt3xBZznzNnACgiLEhQFw3ZLB1lpvZJahpoStRPwU
-T2a72mYXJ9+7rhR9Wp6VLXKrZ1Sqqby9Mxue/lK/xK1t8zHnVfjjihXpNwwG6YHi
-UqfHDu7wc+py9uwJiBbUqJU9JQtTJyldyvPuwCNBaXC1UFHH1YoColVGV4UbWP/Y
-c0UsnGXJdubyiPmbXcz4U3ex/TKS/y+bqxcoG8437p2M1MrNGt970MYGyFzYtrva
-sbweFWSMk9U2lyFquaL905DcjgZ2T/XboYdWgQiSe7dG9djlZhXV1h3gbFUo5EUw
-4UMPbEl3slGL4/oYBcjOpQ0c42Ern5kCatPb7RVe3alT9RBUowVYW4b1DNDiKKTA
-hbvMipXhJ+tz8mvAFFvnpEl8/2nk+mtZoWkyvFw1AkgApitABDz8COM+3rVVQTxd
-Az6lbjhb/2zKibMPzZbjOjTEZJElfl9JaWKcLhZrPAIhKkQgt6Bk7hTRMcMFJVfZ
-OFbvPh+9eIQsyXTwEMYh5qYltNHhLVu5yZc5q/MvGI1ijW9CBDzE+FbN1MVi86ct
-gxT1lGKq7wDEl/iMCEFl7lseltknBTgju9MWTMeRLHAAOttsMTomX0y+Tbg0ILf9
-pDU8Y+pAYpKCQQk88sG3+8j2IjIoxi3T6JmhTme9LfhQ8dHDy8t5qEWAO8cXF1xz
-sSXt+isKXzboOcyqIJKv0xy3efPj8EQsFy/QOvQvJut/zpMkIQ+OsZwRhPRBHc4p
-/2jgYmGFaUnPItSkGsYYXtAwhOGxBLVsH32tDFXxFlaHxaYgd6V0jpG/471Sf4wQ
-uH4LU5zOEP/+ojd1UbW+MwV3M7DwzLz+H7/vPNfvSO9o2RLHINh+kyvQ5nES8qbE
-RCy9W5RTX1dp+jYO2mttZghDx5rV11M8smH1/q7qrP/CsZBnTVXDNR6qltYgv/Td
-vbs7qeyHJYifoKiCkL5hWWTByIN6q6gOHj5I1FZYv7Gi8TwHGtIiu8xQ2hNL4o04
-UZqkcHYnerkEaraeD7WmccNPpASWQjwwpoaMgqDNytv4X4DLQ1eMR3gu4SFhxaOt
-WniOC0DwdsoTXTX/z6IDtL01i6L+NJAXL2OGjvK0rQGmujDD5fSMYVFgT/MXFjWk
-cjyGhwmTsrOqlhkiILlN9a6X8wknMFIB8+lzWt/tKywlfrY9+YSOt+y3xfauqpm1
-VxfLaWvSQL6YVaJG/c8QXtRuAQY2o9cNEbA+B8egNBXCeuBHZzDWFZFERhLMY3eK
-0wfJyeoZQ3iekfqQlOl8QZZBC9Pj911+ivQsoBK5I0kgWzWSCI3EcgEdNUTaWaHR
-3shUSLxEVMXaWU1JDBt8bI1+m9oQx6jEWRi0xivHJkaKHr8I61IgK1dI2DnPu/mP
-5MUkgm1FSC3w88iED1TI91yByheP2a2ET5igwsTaqv3ceagRBsf8zxbKxW5Zu9Rh
-gk5qDqGtsbDXRyYP62LJK6eotrKi8vUqLb20K7hoX0k7aSnnDxr/PvaF5B5qYmp9
-k/6jNc6Puop1t8J38CMXDYcAQ5Qh1nmxyiOAFHsaBgXx7JQGgtHAiUr7fCEamN6h
-bQwrOAfT0E9i3IH3z4mFHpvcLYnIzLEpqxleIL/kzc20Ggy7vuatf+OZgZcqzUro
-GP0zjjno2MZc7qorL3eIY960irMVPVF6wBpTL55THhaVMTQ+aMTo8OWcE3r/6BdW
-B1o2USnnrtzRG6SGL+MpHgQV0nUXkbgQTYsXLLyoohet/gbek+ltd6vq9H0kTcuU
-jC3J5D+IrJ8pApceQsZnVJnUnB93VPI7ZzT3WvZ0F+XTRAp2ngBMA86mixvHRDWu
-oALxF3rYuCI16ugmYFQiJZ7mSZFyCa2LbkSNc559gJ4ls90MdkQcUVSiUhuMostw
-qr42u2atwT5OXhyUHre+o8nJ4sByW7aT0DZc41uD54h93kucWeAvhZABELNfVexv
-4esJwxHRPV7+3yx6LTcLqz8BnMJfvdq00cGopX9K36G2lSOF6RjGw+zLnx5JBCv+
-a0ER/kcIgViADp8ks8du4yhdrXLpp+ngTwjaECIt0YAFYcalLsBuII4m3ziJUf4r
-TLOXU1ZjJsXqfQIQqTfAf4RjUsUrYUlAXpvoD9COEP+Rw8eQTD3DQT9Mwh0c0s1G
-oFmCOmxJ7+0hTEFtqQ+ycjusI/moYOdRUx09kUtlYWDSg/NWt5LmTwP0ObQlLlRi
-1Uq5zmeNd2B+dx31oAN8PfCuXwi4fHO3D0hW5+tghElSItB+Gw4ACf2ZDiLnc71B
-7VpBnsVxAQ9w4UVBQ/JMEalL3wELt9DYsXkU90TVZeDHUETmvCEJTpcsQIjJXVOS
-JeR8tHA9LYU3Kdh5dYUWFSGHs3edQw0UtkGSWku16V5XsPmfqIYHVH5RmCA4QiAg
-suY9KFftomWmXYu38qG0DOulo5tznL/rOukChJMJgEvi6unpvXkM9O4yISKFaimD
-WOyhcqz0Rat4Z/DZNZ+/iYkl5KAPoMnAMk2lnGZTDRDm/ZP1OZHZxTPjtdwIrK69
-NPs0iEZiNzHYeYGDJFXf8r8jdfoV588ECL1DDN+vMC2MLh28mEH8+t7zDN7oN9Ti
-o0FapcRPgT9uTxEjJtV182y4kmZEOXZUITZ6y+aeFZGzZx+69jeV8KiP8I5VVhbq
-VJrq5dlb8HM14axoIRq5bCvjaBFkabk8lkTndBGgAm0zE1DEg4w4GGVtpx9WwDgf
-dTSU0e5vVyDDJlYJ0U8LFaU6yFRIp+GUOz/P3jYMNcNjfWh9qEUTFZUEbf7Rn2ss
-Ki4K06o+IzgGJeuvVMF8Kiyd3yi/6vXmR75VY/7tPFSs3TayIEUhRNKjXAVHp43W
-wFDVVCtvR1XGHxX0nbY49YB2Bc2bb3pcs+vu3HXpVzXoxfaoiHi3DMsxUZhaCI+X
-+eWK+dmUmQShgJKY+K/GHngEOyD/O7n6qAVhyt4CdZZsFq4gst4jQuggeDQzJwLJ
-eLNrF3ba3MBGanlaRElustmkUUCrRgNY+F9uPvSbXccsQ29wNliYmAhgmyhTtCGI
-zjoxs29soPouwm5jfvFueHjjCqFhMbGQl5gY2BakfQp6M7LZqW4ZickfrhG5no1V
-Y11u2x0ityhjXIk/O8C5vBnQHpB/Y+92O9z719aDzFn47wegEkLRWsdoxBoIlBMC
-WAxUMn8GNyYcqiYvJdSMXrphrCnDJA/W7fy1hFxHBClM6Fapi8bmdI4TzHfYK5NS
-FTkry2WHe6rmMsCKO4e4yihb7o+pCMct1NM6uyZjKvzmTHEAHi1GFW3Nfknk8hTE
-6fla8ctSzf/wk5iXqzgYmZdZFnOIuYA0cIo7uMoFa/Odc+KawttpAFSOrFF7cqH7
-fznl/CY7kJcZL+hzO+uleWoPAQFLOyffXf4+bKPfjrykc9sEF/cjLisl6KSAJRq4
-RbPd4clWCxNxRwzV3ZlpshlTtZjWeHFbbiBbzHYqMrSrpIWRgZlvP+P9vZyp2eiE
-aiU5Mj54hWnslBbsw9j0eCpZsUSZUCfFBiLsWb+V1GsHY7Uq4v2NBhFW05oL8Gie
-EYJprWgywpHR3twyK9lxljXMF2zV6o7YznGUPgL117ugdg4hhetS5G/DLR2A9CR4
-ZK6CiZAWgqlGhil6uf8xBuzGv6mVtdKPGMSpVGBGXc+4ZZvYI5/Obz1ciXX09OrW
-WCvruyXa4CPg6Hjcn19Xfqi7bG2B/LENARAi7zYB7F9IZ8++Y3xrSAvTTEJ/HX4x
-0UqhxE6K805+fWbdg587tU7v703O21Im9SA+GjcAGK+4a/WV6vYs/kpmFTTqTnLs
-WazfJ5km/lPJPJioaZv/1Lp+9VQTn7rE4H8IZL3MVCKCB0UQOvGv/qyXtGOhrFdH
-O5Lw3Zhbbl8zbt3djyUUrHzpZZkpblhIpF04NOGSapDsqbacDzQz0lep3rjiWeiV
-/SPNEnw9hE1q9x77q5SsdxfmyFXDenIKHa+iq7fVc6HyLkusliPqSH+Yfjy71umy
-E/1hLrrGs5TOOBbYRgYMmnnX72oT5lDSC1IoraHYNvU3TbWN390z+loLJs47QFMO
-JG7ETgMWkrrLF3XJsE5NNjlROhiIpTPKlFGqK5N1Z5l12K2mbW0gmsPJj6yWMfpC
-6jSQccbu9Ej+/dKnC73bCPEoy69NXbWkZDTrwm/76CpyFhHEdIWy0Z3sEniPPJx7
-64vuCUruWnpcpk4mS/ImZufIulqax2m26+rAj6ESZapiLV3m8amUsCghPtvtO2Ba
-Xr68OvUs1mmCv6N3jRfp6/5FuBV+c129yLI0RgDhDOgSxUn3f4/yxr1/6xlpkYtH
-1qFDRnP0n9ji38mWe20qMlL2hbfuVzOZ6wuFoSEc4ZyEiBfX1gmv3iG4jiGiDOvt
-JJsDa7XLcfLKYFfQfapLfcY/Aq9spo11QuuxTo/6q2H4kpTTN5lRsIFAoL2gPSEV
-Do241YVun53hHDp53i1sgjikUoW5QFC9m6dbzEWAr5PdTc66bmHHA8TW0PtL6tiZ
-qwTp1achsFpOR9n0CyxXEV0YivlBVDbBe/AFlpK2LEGKI4r3qAetWBsY2pxJacWF
-QqoEeqxowHlS6UX0UhuiHw0wt8uHZg8MGZecVp9ylCnLVeSs3XRJRiZ2vdekuoq7
-ry/YCbDjx1HXv0mwgadEpGT3zIAz0HTSDVVRBPQFFzQ=
-//pragma protect end_data_block
-//pragma protect digest_block
-ymxNCAjn+c1GurT9m3+cA2miyB4=
-//pragma protect end_digest_block
-//pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch.sv
deleted file mode 100644
index 0ef1d5a71910a49fe4eee3ef6440bcd7dd0a822e..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch.sv
+++ /dev/null
@@ -1,121 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-//pragma protect begin_protected
-//pragma protect key_keyowner=Cadence Design Systems.
-//pragma protect key_keyname=CDS_KEY
-//pragma protect key_method=RC5
-//pragma protect key_block
-uuyS1DFjXbhgL4bNSA54qGc3838/nnmKq29n+L34dXcmaM6mJ0kNHQ6n7PM3ZcB6
-HhEs/LC7ew6R2AW7bbBbwJzBxjq5zDEELBPNSvKvxuqhXnR27R2Wh3f3YPATmI1E
-30SLZkI2PIUT00IejodQvUoGUAa7KCh7RJIuXmaeoLy3xlY/0NP3VA==
-//pragma protect end_key_block
-//pragma protect digest_block
-xEZeZgllSwx3uzb6uAYv5Cl7i/U=
-//pragma protect end_digest_block
-//pragma protect data_block
-r4h8vIY1ee/DogcwturBtsnOCZkA53diJm3V+B/nKXCTG1OLW2DU+YLLeCqojtJY
-vX5fNKHObnRTPgbZuENmWR6lbOA9rUuZNejz0k9BB2xuEKA6LVheWUkB8rnWeDAm
-K1PvTUFX0IPvU17K/7ZXOYOKGKIcew4UExZsYrARwN1OhhPwc6U/LeGmgiciAnPk
-FSDDkFGLS13o86Cn20mxJwAvkPUqtGVym7SZq3TwJGv3+YJKco6iHwima3XPivf4
-ns2NctuSz0Z3/9QlDyFkPRFDXSsz+vgvGHjo1Jyo/gS+Rts+ODR9Ot7eWFshw0Pt
-5+CnoD3ifn+QBhog/oikz2VZChoJgQ38Ffzl9Ll/84kg6pFWpdfFC0Ih4Xeq39AB
-hVvh6/Z3vbt6eCp5+1Bwh6if2BzEnfTrNcMJwCsrPGGn1ERl1NzerAZnR96AjI1n
-wqjli3wOY2C2FePo2MQ6OyFY/h0l72emDJEExRCzdZCWvvDYUKN3+P02l/7T25xB
-Jntu1+IlXN7numomTKAzszVti9+l061OMcBzwFMK8ttx0k1sQ1pyEvXRtUVT84YG
-cZleHG19Inl46F1XxtPkrNgV6SsLq+Q81c0S30l4gR8qRyuxADWkHhn54HzrsKnY
-gfXnqoiLk1f0IPeeMGBCXQh9fE/eGSSayy+ZmT6ZRnG2vwqJKGPZkiu2oplDMWZ8
-Q8BRSwxQQPWkWltB4jYMwkV1c2qXyqUchrX076ykYwrn9oty+WQWkC4LCnTd/Cgs
-tA8Prr1SpvQyh4TXFFXCeXUiLxrqugSBvMfdSTq7Kc6smXdh2hrgf0dO5WdWgnd9
-4w3lLfg5zq7KKwOm2JvESMrJK6MSIUCKHlPy90/qtLTNVA60PSVWf0l3rRnJxHxe
-1toB0GvxMCSSn3DGCR9TiS768stqqQGHNlnY1XgOxJVDFeE38RNdlhxHrkBF59OC
-TLSzwhd4bJ37W0cQnv4bKkPKgZT/5mrjWhwo6tfF+3+W4bw9RGRGP/mlnMW7hlyO
-02Rucxm3Ga+cyRKhJRS4uSiFgjCXhbtzN05CW1VHeJFd/9LeU1toGd0OOcCYCWVE
-KvSjCvFG2EbgvMr3VyljDTGG4nNPc0WVvu8e+JxmZKzSapkrOZ/cfteBXwHJkihU
-Agm7XVE7Lj78djJvd/xUPfDeqqUf/FqUU7zSitu1cSFZePHHQZ8BTAJYbRnSbh70
-t7Z9qkub+otf3euOIlkSRn8v2vXBhfvM+In6Ny41mKvZVedl4yuCETN4Uttg0sIl
-sjwIRidQMgoKg6MnHy3LX7TkqmrgFFrNHMgfJb+l/HeVj5vpPQTG/mIVslPFId4N
-TRJqOoA/ZY74E5uYTunZ5iEYY2xSsKBD9QAzTokh/HNIamLVUMNrS9TbfuRZk89g
-/P+lL/kWYE6M3noJvR+elkqPVvf5B7RTqg3qHd6ZD2HMsL3QFX9DhIitUC1wOuJB
-TXFZLg6UmS8RxPDihsG1Fi/hKxo7nrz9RT4By6bCjCVqy6qU7+vDubM77ykxjcXx
-l9L8Lbfdsy0O9zFHHEn6r+nxjPW0FpXMyAp+tmIw38HTmJmEwavTieaxunVeoLAp
-VNz0iqymu8+JUTRHRd/xoqLMfIszauq8cSaDdakEdlH8RNqot62nPGY5Kv2zLMLc
-rBa1Q4ERcyLlY01rc1s/UK9plw4WPmbIP9ebfnvXbK+txM1L4sd0sjL+nVShiiOp
-uJLtupjPgRah6+4bDm+zWk+uIADF3j2HIDmEi73guPOgM6+zqW7md+xFBMoMyWZu
-vnyQ3GNXXUj5FkoS7GwXupBw8ifzz8VqzzLre/h+S+eI5iBvX9XAbWarFbSlgcuo
-3iy93ag6Wkc6P/1LyVtcOhzdWBCRNBT02BY9nQj6cfufD/oNPS3Hprj+FnX5b/Pb
-mpn9ql+Z5haxKbjznvoWkbhy+kwKT3RQ/9MDtocu0Kz0plo/jRHnt06qXjkxBxKk
-Du7x3Q0URN5zL3kM/iu5vcPaJoE+cU96YniCXv9hFP3v64ZTCtJzDaUx+dSjJpVv
-r+DCcm6pAGEVrCesqMZLSlUYaiQ0Z+WBEcffOZRB0Z5MEGwd+7nyzozFgEV8BFkm
-DoYIbOZLAFGkIycT8khDy5TV8PZbQwo9HDGhy/HG3w5VmrkR+NaKWiXzRlQPk7R/
-NxrQmXsSECpcIMOEMGu6drRhTr8GvgrM6WvAfu4icv472JRFYlyeMqPLAoP8wxa9
-ohbUsBbkJiw8LlFaiRuu79mdX5vbYYMzb5MtFatHKti7+e7oLBna7+kuHwCRsbmM
-7/4ew84GegHdLFyM1rzJGBdLz0Si0Lv3hChvDuyJeZYSHZR0UmD+TkXwwOrfvWSp
-Fe4QJcJlQec3NYTCp2lSOmAmL3NQZLZMJH62zRkIy258BX7f5UTRS1ukiSwm+/lV
-8d+4bC/DvsrkpJ8u384Lgkj7BIoXUBG5DZW4fwHKziNCB4ajXdOfJooU2iIRXvah
-LDIk9ibIFMdQKBJqUXou2KRDbRtMw+Q+3liRQrCeekhcm//VsQp7C6Ch7RNj3+4H
-czLYOAsUbD1zZqPbuUErXWbk7inwki4K63wz+qw0NnMyhEc050KghMXnIRgLyIEa
-mS8S9w3VUUpobk/kZp9+Pzv//Uo98N2EMcPhdKgWHQalb/6E0NAGTdDKgNefXzj1
-MRF1qeKXIfm8OlQ7FWWG65hXYAn5K05kc3RnXz0CTirEF7/Y8iZs+onW++AKTt/s
-r1hmwEOFgJtBgomrMNt7nyPVC3TdJWheieps2JTaEEpzHW0xMmKoSOyndI+TOJ1V
-5HF25DplytYc8oEjt1kJxa8gJ8SlQThs4n0YhQlt/Uh3Hv+Kk93HYvVYiPA1MEfK
-6XwSB6oTwCHl7T7iSawohtXhKtpVDrD0tC9JFnVQDNBj83kMdjI+eega6RpsXqiv
-d8JY1m+aaSGfFvLClUYREv4YDsACp8DXhu8XqvNkW+4yVpm5K3gzQF5kISv0bkye
-3l8G4JX3mm+4meoLYZQaTxmswGl7l6NcEGegTFsxivZqZahBaLSH3XS+DlB76ecp
-hCSIxklVIsghg+LzDo7svUaejbEdBux+1ytHXp9fgKN8APxce30fXCoBV0szzgZc
-yFGp/wqHQiXlpUkxfHQ6DkD8+D5jSJIkySNMSqLMVwBkoW4LRtjwCK0EPxruDPQH
-/uwWAXtOQdK4b9iQNeidlLpWhfzCMqGcNGmWhWb7cKx72vfZmEx4WXaaHOnxhC5N
-LltHJEe8w61kdI6Ml0vb7s/xce0J9AHchdTCJgx/U3skSyd23LDWbkM8t58yGEp6
-/YrFenj1fVRAot+Y5QJdtzRs3wDytBF2oNvB3ACYZKNKZIBUIC8TephVlLeaa9I9
-GnFYfI2beVZj2XMh3WBFQsrdHjsPECwzA0hri0kkdsUX86TbypCsbi/Va4oP1Rdk
-/irt2dy12D6Oegz7TUWClf6/HxYy4hgr0syFwLDEqKfXtcp9F5+gbggwvuw9D5Cs
-3/kD3Bj74jQr6ytk5J/zc1JNOM0wbf3B/bx4hFeJy06S6OZbqLeeiRqgDJVhh4PP
-YyayKJ9Hhct7GQ6NdQFc+Vxst/il9xRWtSp3t1q/min5paQ6VCfU3m2t05FAZFfK
-GRUtg08Nwr6Em2qUBPA8hQuqAW0wOg1gMqJ9cpEs+nhuSS3j6rV6rZNzUVzmBfJX
-x4aYRuFlOPtO8+T/U1LAZgyFe5PNRglCrfQI/x4mcEA+TMnI1UY/UO2DwLYc8ngF
-ZzEfFEc064EmOVUtshFLfy8VTtkbRgJFfQ6EFlCp9ExrJLa/DgbAUowsZXn85chT
-rFn5HiMeH7BTnGnukUStZ2RWiVg91b0MiiYIPEA9SSB3U5A8byqZ3ngP3JkXdhjc
-yuN5dsLSm/C4h9p/Oa1MPIht5u6+opQViu1cv9HG4uBUyE03Vw+I4a3M+mHm86Kp
-GO+99DcggSabz5mj/8cnjGO20Yu5o7rqXXJyfuXmN4CjlwaQQBfHuEvDyC5SyVkU
-5ow8KcW3XITUY54HwNvm7wq4ALy3IXNZ9znbkDtLEfLU5KcdCJDnfptak2otqIPN
-rf3sH99AguL/YNkIiIJC867te2wUUk0gd2nsroag4IFaPAhQ4Zu3tvQg7y36HH9p
-q17mvzUGEpM6C3dVOqxdvUyWKS1qpIsQpaUEHx8eTZWWjFaPkBuTiR3ig9yOcCl7
-FySXKhpP3vCY8La1CnGY9biBUEIbpgD0KV69NyY7rF/e1Cw3UI49ueGlz5++Xm8W
-jxA8885b5dE6vAgeIvL5g5inWQGfUQmRkmq6Mo0QF0lP15p+7EFvSivOX3A2ciKC
-7SVUebGQlK4XeqKcXt7nF6yEvFE4QigwM8jCX1rluIwv30fF+TC45VozuA82X1ZF
-ACGXSr0tik9yus1SfoD09FK830QrGolMp/YcXjg3jh66xBKtGmBeWrw9EFpASxM8
-hgWeBjbXEZDIioH4Wh+D8xJ/xUYpq88njKChnl4kqk2jqFjRUJYmTg2eC/h4ouCq
-p+0BTWOxewXFD3HW8YUgN+WC+u3GNrTMFWl8LGPFalXqyoOxJnXL++GqHQu48X4B
-oliY/xKUEGeaS8x0bw/iwSC6Vmne1eYLKsf6N0Zv0e5tCM2cIMc5i7Fbq+4+DVCw
-hiU3+bZe9MMYA2S8p8YYn0aBwYecKVjiYObM0hR5zZVshET/C0GLCJEByRLO+IDe
-ksNkEMgPylIXfEg7zieqkGBzFFxsSM0ifZQ+yfSLcqyPXwbYL0xvSYUbH5rgMqFC
-fSGGNfm+pq3e7ZQcJiXdR0beAWPPbp4Lkq5TF1/MKN5mIDjgyZ6cvr6FaUEObcY7
-irJd8AZWy4valkd6nD82TrReGSL3p1MWoPNjNeDttpoWotscSkW/VjN6AcP7ny+L
-K3quqdQZUkkPcETQf2YcQ3eg4dEHjCyWksHSlacZXjg6C+ljhvBjpCi6/Q2oiOOO
-ZWM3QZhNKIAldiGhHtiP10Clh4S6hWYj4G1hn1AYcRfXsetK7EFuDAm3oYhjK7ES
-
-//pragma protect end_data_block
-//pragma protect digest_block
-5ddOJaL8xG4JxI7aQkBUfk3h5+s=
-//pragma protect end_digest_block
-//pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch_main.sv
deleted file mode 100644
index dbf916138983b379fa000c5a54f7c351c0c8f3bd..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch_main.sv
+++ /dev/null
@@ -1,180 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-//pragma protect begin_protected
-//pragma protect key_keyowner=Cadence Design Systems.
-//pragma protect key_keyname=CDS_KEY
-//pragma protect key_method=RC5
-//pragma protect key_block
-HWif/uAl8v5vCIh3VFQ+cGxjFM65w2I+f2IX2Gc/gZxyIipNlaIex2g4IVbxkak4
-otrNnRTOTluox4V5ApSxg0qe2LuV74j5vVMfDyUe3uGt2VWEsEhlHXG/rJCQ37Aj
-TMJv3vXNojPJpIW6ciwzLsvczPhJ0sZUHUhZJY1AfHQJallF00uvXA==
-//pragma protect end_key_block
-//pragma protect digest_block
-vfAUd/c26BTnW7HAADma23rdxDw=
-//pragma protect end_digest_block
-//pragma protect data_block
-FgEjO5a4bLkSUJ2Tb94KOYnUqEoPiyxzSWrQJYIGtNmhaxlDSlZNu8OofjpJlvc/
-uOaAARmKAr87bHUGhNz9OM7FUCR/usGw8LaZ11KPWCfKXwUIJOBJIXxaJyil9VF0
-sKjziRsQW5o0vcbpp5aaGUnRZDvZggKfAHDmgNRZ1jWwPix5VbHLudSZwDPM6wdm
-K/blOkW7SgdOIEyFu7sLNnPSp6Ky7jtnNfriVa6HIdiHzyFteNYZwR1eEZYYeOrg
-Y+u0CzKmV2MX0NPZUfItae5Gm7ef/3n7DjB55PhoKduTwQFw61+2zNhUdXaOsmSC
-NOnEcE8qZAnTlWYsPDQe2fwJ1N225kr5mMiffSNtPthOs8hQcma5Kkh6HNrCRgXF
-1ul2V7EfOdEXiLpxdU5rTm3A0pp95vVrONrj9GuRqNvEdG3SnEYzR+cF23LW3jXv
-7OkSY1E0LrPJotrge41YXfNRAUKOD+WUBLkXZrmpn3WyZOxgmh+UbDU+2Vc+IiZ+
-AbaZEE2DBl8hQb/uOoN1cxkKRqlGODAXPRuGgmen1r+b4LS2hfCHUaIAgKME6Iek
-Uduz3ngiIIBE/uJX8+lRwj8Au+WDbXTu+axp2BhaT/fevImDuPPvg9pKeB5sFCLS
-nkfDiEKR+PE1pddrt3yJ92gfscn5d7pXNli3+rz4StuDwAvncRXjGRCKX/mrmAr8
-Iz5axHBgviqLoyFTd5zb+N5Cnn0gMnsz8gjO1SGFcFMcnZQbj/Ua071hnpuHxpng
-XeLLvVn5+5g2q/uSkQJGXijfldcrsHgfAflmmp9FY9sn4PogJRQl2RSJER9McTyJ
-CfkrYbV+BU2J4LNx8Woq21ap5iCw1CYlhwm4Cswhyv/WaUezZZ1tF2oRSD4bNn08
-wtxz2SHXf64b6TZs8dod8tfCoSBs4PzF+lAvIkHlUncZTTQRYPk7Kdf2hyeIEbK+
-GKUWuuhaf6GJyg4qbYd94bSUWcut2RV5zGHFBihQWqMCFOMV2CPnVYDDLE85MneY
-SQ+o34ovFgnyZc2lQdtQLwKojsB0ftRKo14WvUaj8oFt3b60WbRypD3cp0mHsWd9
-wb0mWON7xNjKJh/mgDXVqztRTJAwOZtxMplzXG7kQ0aYcTW1FmhKfCvB3p9t16ov
-jYgVdMeGQxJQA8puPMxPCNhfQT+AnCCjOXeplZHePASyyEMfuwmj4N1i3xRY2Onw
-yWCzrUeSvYcZjCDw4+DI7YNpwMjYqZHHPunePThHgd2jVUICWNHTfJPPUMayQrZ/
-F97kfsU/dXb5y638E1prr+jbDYxnpMe6RuJDn0XEWk0wpZyNmdIxoXurCyJATKzc
-1Sq3hHxV1eQC8caGovS7ROzupJmYjx+EN7VfWFt6JxhZIsy/fGntddsArJHV6RFg
-hq8PRZHV6tfiEZQnZYoVCK0Qqc28ZJyNAGyQr3PwRheYLa5MsLeEPJYZP4MCNjxW
-pl3mTkbjt7DdBP7lYPPeL8CsMwo9ImoD2FCpoJIQqGKX6djRmYdj2Feg2CK7MVUO
-D7G/VIpP8cC0OcMFy97S3kICsCxAlsKaGh1VJCq2oAa0CQswwb3ai6yTYJDcH/Ia
-ihZW5gvyEJtVXvtphjB+YyE+aa1F4N7rQ0IcPGEbCkMsSIDI9s47024j0J2ru4qm
-bEwkEx8jknlTh0pRxITxBjepVP3u8XVrVrfmJINLk2ns2e7SQBCaQg0PUkUVkCxT
-qW/fuJ042+7fHqDMWiDayBOF6K7GGDDwkIc/DqM1V4E+mmGukrogojehnpxM54fX
-9w7ga5ij2c3NOpUxKEZ4KU6/+yQWMxUMWYJwXCLG4ilA0mBXi3VmU0/OpXfV77Z4
-ySbj5h8u3MqUS7J8bT/W5tNtVMK0omaD9XkJTRCQ6pTmm/rraJEvbFI12YfzDNPh
-Sc7rQFtH3Cca0fm4M6DZqgC0Cc29SoFEDRk+23k294/MbTC9C5v1lmL+chHT0JW1
-+qiyIXWfHoGGWyKbeh6rKI2o0dTW8Btt60/jKM/Z4qeZdMr8rrvnftZMnzucrI1i
-lts3VBBxyFABTL8IdBhxehay3EJScCKQiZdwA2BRV0QpgWZiFzIFopaw+I7y/eE0
-dQpTuu027vhFz5Kd4L6GhP1zZs2+yGyKKEOe8hwAszocb6Ll/dHrJ2mKYo4ldSFX
-L4VjdFfYgLD+RpuBIOUx2dQq/hevN+wh5uLHQNnDjFls9yCxxw5wKHrl1LiVOYyy
-LT3mU0spfw2HTXxnBp209qxUb6yrTvYzi58d/Wfc67tK2he5izMi9LiYQKELRlt2
-eAMiylA28fl5Ck3fuOU2IL7k6EHSNH3Mi+rKm1338fuqvFTHvKKAdgvAlTeMZaMa
-HRWsWuipES5wKgNs97zbeVkvBJCngAGm2Vk2iTnomD3biXaIRGdcBFqPgvbyX79s
-Fr+NhSPuSa9zd5DMb+SJiGphcyNtJSvTEX8zQ9jCIEiNQfXOG0Sb6wXVp/wD6bp3
-HpwV2hghuy2pHmvoMFMU3OhRHk0+/7WaR0T93C28LNyV5DvbtJ9gEvX1r7YkAX1y
-dTWcoa3YbR0JsL5lhjbmHGods/VqGucUUzN2CNztQIeYLTpgUGUncD5Hse9wuD23
-kweX5vW2uRFDV4fmZ5UvZRhI9Pw/6X629yQJMeY0ncbBAhhr2A72AQYCgxZjLdEr
-6KICKzoqMblzOIjk0jzbAp93nTEw9zs5QgcoojTJzkSvJghJ62BMFHazrwftZp6t
-VQcnl5PVxukD5qGTnpCpyN4YySZoj2cK45g3+6eLTg2q7xrhXiKItR0/qXY4TM19
-/cuLhIo67xsNrxT4fiq5XaipqyokExZbFZMg2dx2/Aoi5LnOvSpVRYINkUl4SGJp
-idRY9cSuBB4M/lnCiQErTS7vk4SDMHddMUphFtNumX3buYBSIIlgbd2dkN6fF+lh
-jWZgUxXdS/+F2CPF9Mye7gHTHb5Qo4oH1xcclHMjyW0MGsIQfhv1HHcMACMH55sV
-KDQwwsTlTFDcryolrREo6aCC4RbioAJm2yEysHZcjAOkcdVxYoLW8a8SCrl/AIzv
-amy5DQcqrITORNRPJl11YHV9Cku+FBQfJTOWAsJssOGSs0Tr2JcyoTQdak0+sTLW
-iM4qxHhAnFASA7lShvITAPvTzyFz5P+dLQtWYYgHboocXnwlQMkx93BAlP9BdX9E
-KS29yEKVmEIjqfjRrqHH3vY/LApmWAKV8bZGLvxM/gLdPKrdPJ349JLXERkws2wO
-m8yiNxEUgZy7NgcpfLsUmygJlyczZqNy6klquJZNCZiPqBLje3UsmnqmrFNBHuv0
-1xB+uN0SKypV46Tp4WUEnZwgWHOa2l+7yDW/sfzv002GDGHmLqY7Bym9P/48uMl6
-pV/IUUpNJRndJs8G03Z+/waOsiNlYzAip1WkbD8mGzcMaojCEIduSPkMTKoHBhwD
-26l2vdfkCoDLm/wnITIjHRvQojCBZ0wrCFTpBhJfeFKNQGDNZXgCX70PXuGnI7Ja
-mC6rPRzR7w8P4pgi/Mb2OR8TuIVdj/hc1VvifGkPYXjyXFXPnR5rE7FucpGC/+Gp
-EWm8c6/vRLaY1JF0LOyV4mIBFWDxA+jB3nRI2Hapz6DKfn/XxpDThy9n4jYd5YC/
-WiG9FUjfgCN8GhsPMabaVDfQrb0lfWrYSxOUMD4P9F6yJGwfGKtP0dJ4ZwTFepP3
-WhUKgbeUoSkroK2+wVwP35qUfFmRs0WhbFni2vA8/RtIyQ/Kmiv/hW45Ugi0+cOh
-2bBkeWa+4tdnZ9C8m+3J1RqrGrup+E95OqLV9DxJMI3GHM8jAxHnARZi9LUnEHG6
-hFlrIo5J7mwnQccwVZFlsSEuAWCr3lvHeBucgL3MIoPKd6NWikHOu8NmJiYBaU2g
-tDGFC39/HSw2Q4QJw6j2eV1EZMgcNyfbSLgbWG8OIAgg/dvEkZbMmFfiAggBIY18
-kEn0DCD3zuq7EiknKVV0YObZiaSDjstZE+lbdEZ0649GO5o1pHPsYHdHH/lvyxH2
-0hbE+FWj4ie0Ql8tqYEaUpc9Z3rfXJdZ7zKLctWyi0gHp3VrQjE/REppq3X1rrlg
-GxhXRBFku44vlDhnR+Uh9u51wcc1c/fbP7EBxmBzmotsrSQZj7J/ogLrjdx4qHhH
-YvBdF2pb3yC5ez6CHtQlihsEwp30eIjWMAvEMd4HHAEd4PPyBTrT9f358Hcht7fx
-djcUoO4pQTVm5pLHztfhxn+9ldM+PwcmjmEEp8lJ0TZ459ufV179EjZyoWsTlOBU
-VoXd+ZSelJ9kVxPJ6eyV7ecu2KxQahTIy9KHdC0//ITo3e6ON3Pxj5Vcc5NTJAuS
-tIHbygagOD5mpFVMaNAhpraC9tKOJ3wbDNiVKyi4S59h1TAlboYHLQBuI4GMvRz7
-u/xOrJ332DJu34T/RsWCtHpR2Mx/qEKocuXcslVX31jtIWnMdQvYrD4Eqyn4tOrd
-LGTcNJLc3/I5DSSKEiztSRixdyqOgF6j1Lf4Q8UC5NKKjte5l6VllZ6dgZHdJskC
-nRx2owggFNvUujNE4yZ1YJKwjioBp6x6Zz6iz8zk1X9uGHTyRIB8Ol6ZPhcTIUVd
-T296LweImsHTFp7O+6QpZ8V79G1bX1a34HgkIWCae7ZqPF9srmkhv6IUyqaNNPgd
-m9kS80fxqix3NdzG5AdigYbp8N+HXVprE1haS/s+NYgUUat2fXonRWqRsovVqRAH
-pEN8MW6VScJLrQya4GQY27Y2WNeue5mjo8rLu4Cxkon2pWrCAiHfaXjfeIGRT5w/
-fuXM67eTd4FY5vibu9wp/sgSrQMFrSWKUTGUKu3e2AvOZCvHsHLBuNx0lfvtVXOU
-/eQePbameqGsePtv5S9QoTCwystalFHwmiJmb8VtJzPvAG5fnHK/Ys0PMHrv/yLx
-hDuCNQyLrQh6FLjbLa8gAY1vJ36pK7dtweDM5zHr2POhSTfyIPTg4X9ZTxxO5Guu
-TIyICE479M36z6MHhScHbFc6/dKBYHaj78+CHZAOut9ZnDGW6n8+GaPH3UNhReMO
-+hd0+WFVfc2CmDOWDC9Tk9UYsf4l69zpbcuX4jsT04kWE2ybqv+4rVRasruFAdS8
-ZJupuoTJfOEG89HpqPjBs+g2jXQBAMpySUt1wkBzkoV21nkV53r7q8oiASP/DfMw
-gBJNC8NWBJ+ZIMovO4yCPjA8i6SCOnIYMiIy1qhKdrQKx5/YMn7abDMMBy/t+zO+
-F76Efh0LJZHetUJ4sEJv6SnJOmyTM5Qas+Tsi37SoUVWrSO5OiV0m0hjxKXk9038
-UNbC8kaCiIIo4H6p5L92Y4NTVa1Hhb/5uV3CK3EIFubiQ3OusmvLpHL0klMuTl72
-JDs44LX3cVTBw5Lt0sf3+1Rrs5hZacpN1SW0zJ+lZsCK3EpAYsFpEJiVHooz4KQl
-2ej12mLBIBTBoP7pe95Oo9b7NKAtE3SQCeraYWZECY2XVMdxNITZct8+T7dPkHpL
-Zqkf9YiVbsQzOs26MqDVnHyurNJnFIAqe3hvZQNd7RmFjB57oX6B7npOIdWrhug6
-FK0F9QXOt/gJ9wj/jMBylP5ymjgky4tnmZbZrz47EuSkelQTeOvFciWddeQU2RXI
-vOrD9yU+bno2VWlO6Mh1r4/0k2njgY63gSecA8tYE0GIW+/l9juIr6OeI+h492rA
-jzf4uMbtWlXAWLRq0hicCOIZ26Wub3PZyN0FEdSpgbb4jfmi0XsYiSWxAjI53Ubu
-mSD9u8BIUtj2ZpnikuKqH8SCFF7jOkqWh2uwr4s+PSCzlyduixGBCtGOqxhGenJe
-Z8hlmdP0KfuyIVvzg8GUlJM3ZF8L2gwT4dI3TUfdOg3LO7e2WDahPvxWQ+4AheFA
-ym1J3T7Gpe9kynZLl3f2pC9x091ssNXusyzlZA/awmguAxmIxLCM7LC+ddwkFyXB
-dRw4Un6frUk1iyIZH/BKvkexSTM1m1B6kMmzGCu6FMGfZZl7powhEsget+aVFklF
-JDFDi7dkJOFhRV44YT6HXtpxkFa9eeQl2t3t5n9MTBj7DcFM8IRb7hvZI6zUlAIU
-HIvPT6DvHwvHLGwwvYbpzlLiClpSVjXk5jY5YMSYM37/4yplaJV26tXE3XDcZZEe
-F5OcR2MwWqb4o8rCKBxbb/6hsb3dxC2WmLCNPTj1kFEBwyjsRLuULqvVpXO6bDPR
-AK8j6yKwzYDzVMjb/KkfAxwoNYHlxtGochq/qcWyFtpBxd0pk8mXNp6OH8AxMF1X
-xVTg8JQCj0ClEcX+TMxwzlXu0ssVf1akJW3jaXa905o8BFMUsRP9a7BauMCGxqKj
-0bzscMw9Td4cL+jBNkzzWj12C+ltrdoMzAW9nDGPswyCjHvS6XqHVzcbozQMwX5/
-CggCs6hE41U2gvz8bo8assVKuNjcrlr4M1ZWaFLyMyX43kh/RjZfvLGjgGLH63ST
-XDwTFOMmqfyCTfN4n6Vk6IMQROQxSluJMTqX8BG7Q33NAECmTAD5VhNiyvLOq81I
-exsHeerX12EAZqTDC+hl43S8RE98PZcphVYnqX0vJkkOkdKMx+xQdQ85H5iofix/
-mKN9Zr58/GbYWOergoml21T8WBRga7jSwe2R6hxj7fGOcYWZZYX8pefXtPG9D8mW
-xpGIfu8D51FjdYQI4FwYwz02CNkK2htjpktrpmjX5CenbhEgkMfd3Abs1ZykU2j8
-uXzhE4gGhq9Zo/M/XOHXPe081QgwC+U2OG8A2u4RvkybdGBduLEmPkWnetfCPePE
-ikb7inD8GCcHP2MdJCbnIZ2RFsOZbFz+eCopywT2L9PLQptJX5HGK92/rRGm0lGg
-5QFoLcvgK9AQHJJa1m3cWEOo3Ti7h0sHEY4+toOTATy0MgXFMnnHDwd46z/oIiJV
-8ittxC46WpQsb6I00ZqHqGfnSNkwI7sbQl2k11bVJRVZPPxdCZplp9M/JuZBD3+l
-2nMV2Fx5VAVOO2+J4DjO+fthMp+V084uY1Ar8p624xTnce29NXqV+tT0vVru50dy
-4rQyBb2NvQ2uXJlq3f5qHXO3+QeSEK1yOs9LoAMFzvkStGZOXH7/VcA7/uN5RERT
-d4jaGg21j3id95YGvgFaUk7buP9i+QSZ8hN08Lpk2G44Ec5ASYayo2FuMnLPKLar
-vp0kgLPnuij17taBeYO8UJ4jC8UxYja13Ps75aoR2Gi+fkp6Dp689Iyx1OTmiWu1
-fDgVhgyawq2C+IX5dY1JHCae8LWGOygmvTXyVoluOYV0b/qcqjSx9+lSqd6jOWhN
-mURfDytv3p9MqOVQRDeP7HJ1/J3QcNruqN9hwrTkKMv3h1tROeaEQEhEQVpXsOzB
-1IXhWQvE5YzjR/myXSw70DsFW9DwnFCu1OWWgtXiM1zdsu/sMOJzvRNb83z0L7bf
-aommMA1lcWEOx3QzRkAUw6EkRfq9VvP104XQV73xZqBKfbUmhQYuYN3rQw5LBUSV
-ZtnHGNr3lmUBl2Y8gJT8+jijDQDCJr+8LlqhM6S7EWmG+BinjBFufqPGWMKIHYCU
-vAUbo/PnE7SGUVLl8ApnP1bReYyseyp7c2/siwqP5sKU3bEra7mfc1H/fimM/YIO
-ihe50YIx3oFyGQxEqYDYgFiWNZSf3pUPQpAnCVqSgAg0S50citoZQTESMII5ucVe
-u/Bs8Rukq7PVAAbkNnkOAbl3mUZmRu1Fxj+whSMSwGlh++3JM8RCjPK3jbjDSJoK
-2yQNfJ+vG7FLz3GQ8KneZLHGHtRW9JEOWmlCgjvCx3waFV7n+V6V0ms3l62z4LZ/
-dEC55ARGZehT3k84yRuKtN+sbSX/VBsCjD8otWbiU4s/pa5/fN+ZdwQ5usCpANLz
-IE1mT1/wopXrnLishWshE/5C6DSumyBhlv/RzHtdJaMjOeypZ5SU4kvBK5Y0pniY
-1NoP3uBut4JB51QZ4FnCahsvGFl+ddgv4EKdA9Nn/6KLEsAMUIMRkUyO+bwKs0pB
-k92nLKUQ8f86SZQ3LqidjR36cPeU79n3c2txvm13qbUNs0ZrUZojDNCXNm24g64O
-Uhb0HixbvSOvblxllKm2JT42Sp7lUvAn2sjrz2Jhj/X+UZqABNo+NEtveifoW7ia
-wFt+dpQpWcE7RhIU61kviIubSMZwFiUESYpkdiYnBLz8vV/09P9dqCFT4FfKXOoH
-2b0GhTwHt0UNZeADAb+UE7R3FyjISjx5Tc6Y3J5s+Mo25hDcHGYKSata7ghxOmjg
-3Pv+uz0C187QhfXoN80zfEg34T1Vofd9n7VBy8TvCjATZX4MfGMM4LUL0r8O2I8C
-kAumzlQZUPrP1wW1RE5INMeAxx2ZN64ynxbFhucjgm9LW78hq3CzcG7ymxFauOVb
-JnLSy6hwUWJ3a/pwWHzwyuK93K/uTyOUFZd86GyYhNiLnOLZoUDeE05Jv5yh45YM
-N119EnRdx4Fz+SbDjVgsjJqWLMwZGZ2h5MUu8PMSf6qEQ8AzIQOII+ZDk+we7EgZ
-neAi7D5E7lXz0cW5hbqUXD9acDjvVOxYlbeb/OKBScq8RCMi3zAYODvh8P9YdTwe
-COCLJ8BG7A9q2RqTFQ4XFJ+S3mwH8jKSLTYUhIL9S9mof7RLVVdhHF6pozbjjWnF
-OSF3pqSl9RMTPsXOMrfuj3Oe0NzeebVjmPxpRg/RyhWV2h4t4G0LxqOhjC2TfGy0
-39ABxju7kIA/rLcYK+UhM4tjLxcqXeuN+OrYYgfZjdb3+vyovbDtv1YUc9NBFDix
-vTPo39Ed+Oq2djDrAIi0lFrlYuDTB7W3EMqcW2FeFMMzWlPwMdyn3q+vo2GyMcjd
-
-//pragma protect end_data_block
-//pragma protect digest_block
-YitND5Rldga34OwLR36o3h8cqeY=
-//pragma protect end_digest_block
-//pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_dlycntr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_dlycntr.sv
deleted file mode 100644
index df9a44256f39e6fb95992ee4c256cfa131b6e672..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_dlycntr.sv
+++ /dev/null
@@ -1,92 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-//pragma protect begin_protected
-//pragma protect key_keyowner=Cadence Design Systems.
-//pragma protect key_keyname=CDS_KEY
-//pragma protect key_method=RC5
-//pragma protect key_block
-Ak8zYjLzFd3tzMxtp6e9f3EpQW/jMKOUB0Kl8tzVK7Omuz+3hsOkRiafqV9moN/H
-St3jhP+a6te72HZOSzUHoVBlgn0rf5msgN8Ig9kYNqr5cUUW9TvbjP+8kOXuV1i8
-JVJCAkd600kzcPFJuZ+5XvVG/XYxJVPWgM0MhnS4FWr21VQunmqvAQ==
-//pragma protect end_key_block
-//pragma protect digest_block
-09tQdtfIntIkwLljkjZIlw550sU=
-//pragma protect end_digest_block
-//pragma protect data_block
-NthBw1Rxv0Dz66iHdCrnzkC2gHcAq1gTq60GSM4VB+WyeNCIfFKEsNzhfEG8iFfA
-I6iw4h13wof765FAV083L1sj+RCemjY4EHZ2O8nQJR8CY5NspvKacbuSZPD750xb
-26yYRXK5q++w1ppfSmcm7OUONh8e8Q0Kz2FZyeVBkm7VjDK7wP2c4+8vAWpO4iPI
-3rCKCnOmJfDbYuFo2E1W4scZxfNOhV3EkX0WtaJcAvy0muR6pC8uowcOxiPHrudy
-PfikZs/zkRy1k2pt2p+Nakmlh14EzprTB2wa4AC7gBDGKIgvAszCIO9RodGgjYI8
-37x3yfH5F4bJ/9AeSoVyWfy3P7A9zmGLypimp2oHfasRnRy7VdW5xeCA+PI7MWsL
-+G6rGWKB2p5ttvcGaPi7AEZLL8ArhnV9euc3JESQQ+tjO63qlny/LAP/E6qKPlrI
-GCkmhv0q6jGxqda4w/NXhEgZZEcDYRVjqNY5XaC49OZ9MUk4suANmIqRmrRDL+1M
-77ozJJBDJ+tecF/6iVNBxzauljoyoIXi1LliBMCtLCz0Ud+MtyMjQGNuVMoJBjQn
-L2aVAKOaP1LuIiKQYZApsQCuzl6IvloWmKpI7ZS3FMMtCET8bvcVZ+YFsW75eFZS
-7kk5CnbleQ9dscPSygflldF+0MmoYzGKhetTBiunbtrdrUbv1wLW2kK0B5kXZciG
-O8YuB8Ej5zs5K0LcMAYWizh9vXD5kaztUGhyDvlRfGzpTjxvOyatjx86g2hQgmtW
-OfsDf5nnp24wpsDKv/MZtTZRifix+GjsKLkfS2o2qLMdFc9yI/0JhiwbFAZq5Cwl
-Z+fn8JVN+FtnkmtaTd/67apX6CE2PNuHT9zJyjF0Ork0bbg3mtxmLOMJr4fyfE4t
-Gsh0F9MzO/gYGGAq2RptLwGZi5waMSIVYxyWu23qrGKd+sCoiRy3IEthh+wnNhpl
-E4LP4xOup+D+Qz17QlYZCrwvUo1yAIuUSlOai70O6XyRHP+Bb55oEd7OA+zRQEsH
-47LgrhFz8IDcqBTZGLJ1R+pp4iGo97MsRm6yacidxMK1hSylXIS86a+v7A8bHy2Q
-nv6FRD6FboM8rP23XENpO1CmXTvYKYot1arnMk3Xj4EoHOQHp05ReF2TDQtBpqwj
-TLsg40HegMoejqmEkEO3REtYDvaPFGI3tGhEFOf9087vMdr2HChqxEaGS02oep2y
-aCiJdH41bwznxdg/+ioadv3rUOVm3itLTl+Hr8GS5tNZq0p9k8mVZpkXT/ulCudw
-y/9XU39ABp5r4VFQ8Ec7vz2jd046aKpmoF+aTjwD2zZO+luis9Pz3oOfSz/7bC+v
-rmoj4qKhTW10J53qPpsdc75M35UpFljh2FaIV8pAHCyTIV6FmKbUu+FYTgZ6riMQ
-rFuMS0inMtfxNPabItgbO9JKRI3KLdEx5wqDRl7z318M0jWTNqDihcIG/8Pf3Ft0
-krSrhKsbnM+FctJiGJEtVCFFT5//CUPzwKiJLhQR1r2Fs9E3RsIeF3Xl6y57RcMN
-zLwGp5hasHtMhcC+sOxOBPaAj8+EaRkYbsymBxp61LXhNfmXa9XIwj0NbK/Reg/2
-rBE6mTCekRQzauCUMpbhcOZGBDQ8/Cze+qbCyPvrskG/W1+8hkzTqK6oiH9Vqt4K
-HqpFTOtfMko+6A0AzIsBPqmF566QKYViuVII/qi0BT7xtXmKGn3vwabI5Snswgpy
-I1gtMbRO/RFtvT2g8At3ioK9srU7wRVhpveJhjWsbFlmSbhLc1+VN+UHW1I+3W7P
-9Ll4ygkwO7UXvISPfVTYw3h5LQXe5CLbHkoLRl8zRm04X6qOIl4yqxt5+5hN8vDk
-a6BwGcpMMWPFWGEKVd5/njdxIg1SUNiBiwN0cxyI58wNeFN82lepqO4xVegQFL4M
-lsbCyDS8/ZuKQPbAN9yntCpJ61O7vcON/maB9hySaqwEMqP+oNEqXqLSQo4Q4ogs
-VXY8tziEo3ItnjY1TRKo5ugKFIFxdHiurxjOtDZlMDlspclcsmmKj17RFZqLbczZ
-qoIUxLkUHK531GPuFS3oDO58kLQmuevr3y6WXYZibWSFfymei3IWbz6uqkWsHyrp
-y3FU6ttDAFp9Nd6AzHZAEvngneOuDjCKLzccE5BaCIxb8yEXBKNcgFYTTruK3tXs
-3JYpLA2D8+W6aYy3UFg3BLLxHWvwd1SJum2dRdLjhG7tmu6jDK4PpLhwmugF9aiZ
-XAWbrWLPeMgI3YwVHFuYvxHFuXKIB79ucUnoPVBX7tTM3VlSuDVxiZ+QjCBBLzFR
-PjWESbNt7LGRNJKlXzDe6aL42JXtLdusPXOVDCoDoKaEI1QfPDTIYud7xf9YC7kv
-of75CLk6N7XOG6wFkhq2CEjD6TIdSoKjYwCq8TTl67eEWvGVPJ2fvjXZnrvB9HAn
-rqZ04I6JcOFdtbMljhpCFuz7f4xr6QW8o8dZZcMg695qEFTvNwVkMAKWf6HvmpuO
-FYvvWD9lrlB/isX3R+MDlGQuc2kOlaq1BXseTyVV5RsMFtfA8H8rkNVergMJNY/a
-I6BUWDKV7HajQe3Hr7nI8mYeeJpj4gUq/YBU34KiC9hOwCAG3Pza5eua7RxPmP1o
-V0N+hbHs/wiakF1Y+DgkGMvU7wZzuTPya25UOuUqBLZ9CYv1edi4N8LrU6I8E4q+
-LXF2xMeGaB6wR2qmMY5aoGRzJgnjU95kOtLUhyo1MTP1BUJbnaiV4rjdbABqqSgL
-2DTCCRJAfkjBeO83YVEtdXPocWwdwvPp8aBrksQyGwIHiYUG1O2uDZAIPa95I/Zb
-+5REuBdTq7v1Uf1Fxx6Bz1V16vdLFrhr8JZeqAwdKbi16BvFJF9xmSGq49BFuSZO
-jRnRYZZYJP1X0aE3BMhOCMrLzcTIBpYaasEPlpo6w7d39zYeKi/g9i+fKkyWnyYm
-MnME21pZ/dpRA/xzDk9XXLkVx7cb0/z/bZhDMAlqk5mED30xsCfaG4gEWi4kPNPq
-2UeeMpmw5ONWbcjtKD3aFTO+Zi9Y7s21RGuPYuCUMUbljHcPdvHdfpKrqAwoe3b6
-UNc9V1WOWo+wqWVnkQBm7kVJqYdn+IsHxq3nBQ0o/gjKFcYAcLN9QTIUrFQjbs9P
-mwCAcudhIhkF0nFZxxXBak4BO0GtKjg3AxJcJijofQYVRHbVQmp57E3HqS1zUQxR
-zqXXdCB1H1p/bEvtR/cR5Q==
-//pragma protect end_data_block
-//pragma protect digest_block
-0yOdTG1ujAv2GneKidsOb1QJkLY=
-//pragma protect end_digest_block
-//pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_main.sv
deleted file mode 100644
index 5aa351ca001eb78343760ed65b75d9200c8105b3..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_main.sv
+++ /dev/null
@@ -1,200 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-//pragma protect begin_protected
-//pragma protect key_keyowner=Cadence Design Systems.
-//pragma protect key_keyname=CDS_KEY
-//pragma protect key_method=RC5
-//pragma protect key_block
-OUKeIPBRYUVR63SlQyqF5tSxvLpk4MyfRXqERPYv54DAPiAKwDjTPFX2raK/ljth
-tZIP7fIaaXCRVcj1QZnJlKCSx2ys1RKP3eBBV0zS006WUv7MaQpT6Ith+vo1OKSY
-UmLpvx6yseZjUw4nZldLTfU/Kx75Zw3aUbZpLw97kXQbMCj/DQbjrQ==
-//pragma protect end_key_block
-//pragma protect digest_block
-sokybdTeixIOO82KAQx3qTgBklg=
-//pragma protect end_digest_block
-//pragma protect data_block
-xWLtgfw9Xg4/4JeGn4iBht7AtUWd5ovKszIojrwyXPzoYMvghdls2UK0wc8AuVx9
-G2UlpN4FuKp0brJXYdyT2lHDB2cGfhhq4kRBTAc+/0BzbMOJe5b8nxjwxA9+hHBW
-xuG29iApRFA0uSek7AEjjqkPjZo48RIf/Fv/TcGwen2//jk1GI9WOQiG5p4EfeaN
-3DWJ77TJRk53h9aWmeZZ9g4G96cPafkNcmvCWMr7NGPtwkPcGXDlmw1TbdTo9LMf
-ra4VEA/4rJbI3kfHeenW32aM373r77vGoXhf/D8M28KoqACIxX4Z5HSptKNoYzgq
-Ae+WsX9HREBLCmG9PHOu0kDrXkTP8jy9JXm30JX1i9yGTiTFo7yTjCDJcIpXsejk
-HtOwWRTqZfOqvW7PrbxERn5Yoo4ymi8Am9MnHv0pMtIdHxhBW905dYSSCM888/bR
-Xp06u3yQfQ/lvdPz4tC6bL+9XIJ4X3qQ/b0h8Ffaf/Xy1EbwaHf8C0Gj2CNqnXpe
-qJbX4A0pAfMxtE9UBZveShXQEmTgGsQ8hLC8dUB48xbcBwdTz9vJ3o0P6cIjr8Pe
-bngLydpzD6SCf1WHOGadA+zbnBggDEzwtRwk32ScrT3l9UZ+o9ZWSfvT8koXzlVV
-zgj4zuDvpEq1HaZ2g+VStSreJzmxLt+JRfzZQ/n3QAML7hh7eoZo+yQIO21Yrffw
-Ie/7qDniUcRHT/NMOQ70Lc6gvPK/u71j3bEUoRXie72zvoBl0qD4fjDlzwfZSLyq
-EVYpFLu0FZrV1erqBTWVH1l23QxpdYKfYJ3xaoE2747bVwGuraMCCks7TxfWJcEt
-/37QhnndDJTcduh98h6UO7O4Qyjga8U7scLamAnuvIH5/OTYl8+8WTe5qSTyxwoG
-z/7LTzREqz+QvOUx8wGEIUtAFbBq7LRpAW2gp/SkTPpWozSgZOg+U7+/dB4+DXxW
-hs6PVoRH92hHGbdSgg+obFjnGlxOVFogFz8dYkplVlIM/6iAhUB7CyqJmkfguRFl
-oTDjhJ9aZgwbND2Wsq799NX5b9BX74/4d4Hiez9Me1rYEW5diShWdTkwb7zTgd9B
-tcJlSC2r7QhJCaP6TRkncqg6CI5aVT/Poxswg7Zl9DSvlLqNBjNExqT3eWGUEGlu
-UntPBYJARZX2/v0/LD2DIfFUwkpDlro1/eMMEASVNxY7mbsBzrzLP60ty9Ll0fG3
-PAA8JKT9hjiwxG+l/8vRVD73RJVaF+iAGNDMm82b31t+0vjt81+b2J8WwL5u7GyE
-1TGz+OYeb3Ag9qbkjhJiHnq+zWxfy79zfpMp7hulaYKivXAerRiMT7/r/s9SnTOV
-P2pUdugsLmsZ+8uDUHnzaGT5X9RqTLiPp9WrsRmLY7dwceneLFRNQv0PONWt62vQ
-fEgWS3B8H1vKBb/ppuX/oyJpmS9xiwzYD9XPg7tK15zZSEpgx6K5EBLSSsReOIZe
-S7+nKYRNe3DQlNCKZiKTc+1tXwrPd61CoWsyIxG1lKAxJVLRnAGpay59Y/oU9Xau
-WR7vmjxEOIsAOSd8P8XF6Hr1sh2Z7V/59qJJg8opr8sMPoVO6udFkjFV1iaeAaxZ
-/blxHHhT8KI1yqWNzgzxm4eSoUGfh0RkOSROUdzWJ39n3hqAGBmvyCdeCYFWUbXx
-vjiYjYT9GH2VrWCMxJH3O7wpGiGRjN1S/4FOQ3b1L5hyxY7PEgIf8fqPJ/TEWd06
-94aW92zgaH/WKiciTCnNYAaiZtCkVJoEeR7s96utOO+1o33dTDTNRnKRfw2jwc0j
-S+lSpJZhqGlUq+e2wrxZxI6VoHIotTMQ9VarLLv+80qZC9+rvsXAa+lMyd7MNvVT
-4HCrAf2PhWi9P2muwkLBSLa3u7p5ThFoEzyrgsvbTMgoGgcCGQ4jgT3MpwNom2Fh
-n2rupngiGsOOwnUnnXR4dgDjksD1qeb0ifdQV9IpLXDT6s6UOpD1kxO8WPRht+So
-04Iikc+1pvpKIeWVbstflxFnQznLT292seg5fpb9oKvtzNgtnX/mQu9qtKpzZX27
-RYzhf8GdyzuuhJzIrVtHH2ClMTg8o4VrgHZfQbxC1FCJbqeN83Sb6RvTh5qC/BHQ
-M5sgtrYtGxEeB7or0FA9vi3/7e5k0jg+REC8q/4iYiyvGguhk52ICs1ATif6aBtS
-CwBuS/1/XA862JmVgblQ/F6Q89e+vGzcQShJYlpk4c8DiPf0ETHI+OScD4/JpV1k
-ssdomdgUjhm5kHY27AgxSFyH+SaVbEMuU2QUKhIuMq5VxQwSBtYFyg8ge0PT7lHq
-qPDsacE8/2NiHbeZSaUjlStW8QSxPonEQNBbjq6e46vU2NWXeuh408W1a5wpkc87
-nbxRPWMqX0rZEjwH5/KMji+7TDwacd78WPeoYfXZLSuJoLcsicYs31dqhgnxkxi5
-aCxWmi7Qffv7/09pUuleQkn5ZxdPpYDxLTiDjne8xuiYMrUEm01Rjgmq6FjJ3Qg7
-6deo5hiOa/QdlGSi6TR31bGPhcVD1KXvZclIlT3TlgEf5MpqWUJh6CmsdsWT6QNW
-XKo3tP5qAWTZcGkNCnpkzji6xt+Qabc7rwScxLLkOgzOFcCGiIILeHhZuk8l2sKp
-rLPTX9BTuQ2jMyU8jwSM4Rz0Kk9X8MERJpg5tl885SzkHn9F3B0wlxzQXOUVbjy0
-LDVHORnqDx0Sjy6Pb/FvdmjgOHYBY1zU1vXEyTk29Ukb4oh2EtEiYjcxOreFS0wT
-Oyca+cDNXIfgWZGbjGplCmwbiinbT51mQAUzctArcD0y57PMiZmZsIgFrMdnxco9
-rVcfT7ZBOmaWbX5Z34/32ubBvOEq7vR68mlwsqQDqQ3bhnQ9sC/E7Jsn5gdsn5Gp
-NdEp2qWy34u19hCuJFZ5KNlg0VcX7SeaiaHlex/1QoaohDdd7DuNDE6AHDgFJn6b
-c6cnxgl+am5+n/sYeakFBEQDziDlEat+YpLwrRpsnJId7Hnl49mtNzTOOiZ+TTOh
-Jg3VJfOJb4Pi3LMdqWAFIfoJw2ymzX9JjBlT7phsVVl7d7MuXNVJHX3CPJVZC6KQ
-jkF9VF+EZUUVunXgHqub2DN83o3ZnMWn6jW8Y1Ei2/sWSznNsHTrl1bk2gQxX+b+
-S9J52aboHVHtajktNJVTdSOmy1OzbLAuGEHIGY46btJG/k9bE8n8Qk76dQ+yiyK7
-NnyCcjtKhpZS5r/WZ9iQruRLsaN2rCnlPR2iwKJ6uFCv+8pbf/KDXt2sm0pk04o6
-AOGbgz9DQ8dmgDDme44eo69Dc/Lg+zzyuVtScOoXVmOTPJTmSslotjTjf4iqhgzB
-rkXZrQBHMabb3DRfqWmbRzFKgZ8Yah3IfgusGCsI2UcTv0R45wyA1k69elONHIj2
-8ELzSNjwDFlAyeG9kD41PS1SphFM0ab1o8jXozgfwnm7w2RR6f7b2CeI34FtiyyR
-cVGbFpu7ckinQVyWcagb9ihov0sU37M+QA8dSTesf7xw9JUchiELWJhC8IrT6/zR
-yB3VkyiO+agUbMxQARtytCqmvPy/cvc0R7xdzWvoEmcmcaYNW1iuYr/s1e36RNpT
-r9ds6EDxhpAfiTNyUiekRorU/uKGblE4DbdeRbJxavnSCRJBx9i0B1mXOuOPGR/p
-41TOPoKe2Hd0DakFohFFVvW2ix/a4D51hFV4FMYZvgMKOJ/aQ3fD6qoIrCg8f//i
-v7NQ3EPPhwQxGMrzCs/40VhrMYOqzHXUzQJG3Y82DT1e5rO3zGT+Xytxa5ujlvkS
-FpT1+iLJF5K9DU2JrNrW47+p7vllDWmXMCj5w0g47nd45kbk9h1jVwMd7MUxHF+3
-pVH7pPgk7/3CkMwD2al6TiV+qQLljduiORwuPA+WABWeeGX/D0i6+ZBzBQGMoeUT
-G/wJQ9DT2fdcC0vwY8Roh8CbM6vOm15NZBngVTusMXdWWeycYuu+Qd3I9A40UOBZ
-Z0dnNQC46ZrhcZhsePwYP4T7MQycLJN7R9nT6sPFPGqG/Y/G21a2fbJeyZkIvE+n
-KrbacpYWze3VQJAhQWXiS56lgBkOw/6IVTVCbEc2UOS35ffg43Iw7g0VLDrGJ2AB
-QsUn1SoaguKN8kTxQj+9xPkOtXC5wHWmGsLwDVUQPiq+KKK/aVta6p3Pea5MVJsp
-iY0s4P+ocLcEp6HlhSnnnGXf9OCN0KU56xqkFFfq4EEiKFNfjrWURSDYGzXPREao
-7kUD/k32o5YlFbwDE0qPDs/6PAf4BmKABHkjS31SoJXDnf9URAZy2LKh3e6APiY4
-BgO9yZ8AasWw4+iFcyK47nxco6CIV8hlO2arEG1gRlOREadNTu7q/aY16fmpEo4V
-1Qc0oDyWb0R7dz+JYpTYDc750OpCivaFFtTF3WJEjBw0t/0DjPEM549/CmhgHyF0
-T940vfYbPrvq/0KZV1Rg86SfvELGdJmSre3R6IULNNpzrNTxz68DmqQJneYx3J80
-usxIoj6A4yu94GduAWg2jKlgJFEfXh02uoc0F4S1wIwMZzlPNaCwaA+fOKte9ADz
-irycHW3e+ymFQ18/2nzTquAiCj0dL7kkqbcFsRY5pMyVsMEEToGtgIFZ06SmBE38
-H8i3qHE6YUsni3oJtYUQThJJVKxTisZDIrOUYTHcw0L332k4KuyfGQ+a3eum+kAq
-lnIjn/fK1E5iEZL8V2wdkKo36ovkX00T9zowZ2Dkusw8w5Pr8S5RszL/OJ7pkIvP
-wQk8PCZyEUzRhzFofw5fNsSMvVlPWZ6v39mErBLnaoz75yKCqeZD3Nv5/ACb5ZVH
-WmYOTDBsGo0JX5AzmLrMPegy5k1ZstouwtLzNNiph/6RlWb2cqgrRF6JMyqom34E
-GdIkVZQUnYAwGeVUbqCW/ZxIs8PA18/34CNJ7FcVUiSVky8jGu6h9guSRXnGSl8p
-45+UlXX+henwM5clc2wclDLq4mZ2g8FgnLRiiuySDfNKdIrbkxNNy3A/RRYtBpa6
-buN1aPGkYip1OmjCGvvTD5x4IH0rrYiEDC2XwDTEwQOZ3EJ87N9cRsFodKQhyj+7
-mAcX8iExJusb0P/MPXPAbc/JqFlcyP/jIRoFbmz/HcYybq6uv3iWdpR0TGVudJ2k
-YY0btkKLzPq/7599JRGk6oNlYPo+SnYtGe3lRY02Y2JfRToRh0c/BXAlxbOSwgj4
-LYRasDO2t69EwOpqiROkUIdHGwBcJK5DN7xWbzNYAF2aQAsQEtpkZZ4G9I6+aDOC
-cM7BOq3EDs+RjwylRk7RFnW6T+tOUFY9Gt4R+sLMrq1cdGpInDiZwP2owNkg9ctV
-IWYCGkclEu0sZAIkUUpBJLg/oleJVeYK3XDjl32mMC2hxgKOn8gjc4NN9W+qO1do
-SU5EfHo/LXm5FORtwCyzu0OTQcX0FItB1daBgQuu3JIGPwtZyCAcNte0skNIrZHq
-FcRDZ/hDLPXizDg/VEuRixrHc7YZGf/R0gufiJ/OkqWNlA/rnwmP4JhSP+MYEKDV
-UuSkxEAEUxf+9AoDwCPb/cvA7pi2nHToEuLbfZAEw69Nrk5sZZauvl03DV9OmDI9
-PJzMFO26/I4iLWaZhPbLSCxBje/Onz6T/9vnnziIaVYJaXcAmlixadYwa1oT6qnJ
-Em8I6uYUel+CXFdot8qLwaWc4wUqkSThH107A9BKKq46x/JiPboNwGNrmqyWrvUp
-7fdlG2uZ/DB+iV6FhmOOEaPo9BAhODLqs0QWBjZuTgKHAoy95Ar9nOBxYrMwvruF
-as/WijXehPqES7XGGJD+N5g8PkYrj9AaC66uI5tfPFNK7doRQvQ7fm+3Gdq2BXB+
-aDnniBpWCXfiVvL5LSLbR+938+ocF5U5iYUzXEuAdZgmjh/BRfTwCH/ctW+8beJn
-bqpfnE1/4DWfLbES48Mocrh0hXm6+WZ1AD6qCX/NidONXXz+HWtfNFQrU9Is2rte
-oEt4lFwv4IJ9fNxHft0rfgUPbQvpYQX3McJLo6zO1BSZym9tI01VNWUxMrRay/49
-i9xk8QJ/g1jval8TgQj656H9jNqs+/mrdoqq9vhhiJoDkymAsGBP46uBJo23nsZ0
-GWfrCOt+4E2g/qT8FM72yidVObby6uUT8WwE6r4Fki5kVlo0sRoLjqWUGxgVp1tL
-+ecyymztULfc85mFcmLonsPuLqsDOw58hF+gpxGnzUgNL3UwSk+nGNxfw3xdoFSF
-5EY0fF5nU0hcg7XulMLWy60sbXl+8lvDtisKFaR0OS48Kqa5h+2+5jcCdJhng4yj
-GGzWcPCmuBHRR27vzjHqmBCnN1muYl3bcV9BcTz9QSmdDeuIvF50uPn42yR7W69u
-IljPVEqollQC83N8VSg6Fe2NopqTAQK/bfOqajhpCw7kN318k1XqXbk17c5UbGR8
-9LBN4NKsQOqA8JMaqazGotldYmhnp/pwQy3/ZvW8QkFIk2rIS/pUB91K7q2Aw9B4
-jYM1NDPKFCBXiNThnGFVm/ibSyx5ETZNnFpeBZ3SDCqMEt30eVoNABG7k+KNsQ19
-U/2Zutsz+bfRrlmc1ofjP1DG9PUNcK7twvRk6t2RwwIYXzvGWUptrfhs3TW/sdjP
-+w7pAGQU0Px8Oa+71yoE3bMGPpDOYTYDv3ryeZGESWZv1xvYF8+BK2FaEvvmtX6h
-0X27k08uBN36KCvrouSaS4ljEwCOvHIEsresdQO8a+zZowRutoAgJCScXN10da77
-BABU+YzVvrRw8GJUA1qaAkXjnXLKrSIA36k8toB2L9vlufJ+f1w7fVoL7/hmZOwm
-GOq1aUE+zSAXooNkg+VTvCUTiueMYcVS9tYAjgjoS1DfTpVKJoA7UnU9bZEnYkcU
-3GXadhk4lL2xtMJWlx5/aa3wB8wHvv3W4oRSwK6jSOKqGMFlN53MunRKx/zs0S5a
-Qwxth1kX5tkdeg7u5wf1cFmocNhRM/E3hw1ck3+NeYWV5zmhYvtMGjk4H3PRooAw
-tEUKTXXYRsFRYy92f8wFTkARLpKMvlUM1sn7/x2Q+gbvkAueIlAui9Qyi6dhBs6W
-GJrXM+aPdrdBAQ8WfdT/5lmuymHWKdR+70OC6oR86kctj44w8WYFRQ0UWrT7bQRR
-PkpmQFV2M3ng9QNgv6K0YDHtKQ7oNLTiXbmACdMrtNYTgb1o7zCIYrPHXs37N+7b
-fld1cFn+OxsTVCzXIhrZOJ5GeuBhi69q9Ta81UGHH42qozn2TAkzCMK+ItWoFAG8
-7YxwCqoXJtke4EZXf2PIbYlr+ZBCyMnqhv8SIFAs0p5WQDqWTcL2XUpt31mF8Y/N
-fdHl3WxqqErjl303Ghsdsi1v/sA33V9+qm4xaKSJbn4T/HMebhXkONzpyYiOWtAw
-1obQrKPAYLEd6s055cjAUVx3Py+xZJpWGW6gvyL/XyVO6eUW7+texxT0Id1K7GJe
-/zej2RTKCiqyy7G0wYCk6dH4P4OydhzhZ7UkFQe9YgZSv0SlEyESMDGAFJKRaAuQ
-mwCMRQAO9OoytlL26dcxnEwc75bv/RuZax6WRE50bsokrr2TYzl6bQAW+L1iXMgG
-p4SPFHU5XUmaN0mw01H2x3dabouQVfj+SzNmL5vRHwJP+CR0g3GgiBSZJsQ+n4xU
-H59M1Hokn0kVCMvS6EzbJ9ppMJN8bvTFvnZy/aETI8PlaOpfb857ZWNn/hXAPz6x
-741RUdZTY1NZBw0tDPYYOo++NQalkEOGhplvf4wDntu9t8UEo9i266rSn70Q4Fuk
-nDsv/bDZXEe4DoTvXHHxj+OaeHs9e7G6E60V+tt3KOJgQBdQRI5jcFZ4RkZaNuyY
-7B0X262KGEcWX78dTayi3C264lA4WvTMUW6UDDihQauqVXqol0f+DO+uIYO9L2sP
-llXyJMJu1p205IFwXoYkYMeS/N+oOP0320Q8Gt+FuUVuGSCPk7PeyAfX6FvoN83p
-6Y1WbiWSy4z8KH+wn3r5oIU1ijKQ1YYRTTSGpS2RZ42+CWn4yQLhfcM8EuKtmhGl
-b9OIxGH2SNN3Nb5mC7RdLtmfZoVTLpnXoBU3MMVu2XBhOX1BUPXGciB0n0utPn1V
-iJk2IhWht/Nq9W3rrBTHDl3SM2K9OiRyqQ7TI/jNKQyvM1ZFBBJr3ZndjvUIBx/Z
-HHXfNvH4AHdwH/qyJsi9960fPnSedZIrLR0sCcn5HoYcrpm4tv08d2KaSWyJVrCQ
-RO4fFBI2McbNyAQaC0PMR+Wx5snhSGqc78u4u1VcMONKzlCJUsvGur7YyBqHonaw
-lo9M1bqL9IHODlZubmN1iy4sXZr7l0RMaht4oVknCFRprwUrnpkp2W3z8SYvzgT3
-5+SQ8ieWirgLH2rcN43nrE/s0n/wOVBoCagPX5jkS3d0THoZ2xdyHKsysCrX8HZS
-fEbJjjEFjBf0ULuuV88/oAMnV+cRl6VDQnZwWtW7xZuS6CZZI6Pqq0ZCFt9H4+/I
-qDAqzocCpo51jgiJHOaZr8opmkamoIv2Q/+YdB7nzEFBZqpysJLSnPcqkkXcFFjt
-/oR8eg6zg/ysNg58tWvbN2Wg0elzndfXzVo0jiQhNLbh4lBbzkCUA9MDVVNf+CR3
-/AWvSiGgZm5FYFh9pyR2Mo0pBAYAJbR35oDaXR67dmHbnyAwA8zUtdm9etqReZfd
-pKVNuFQvKFjVK224Bnp77NTZrDpXGSTKfFQB7YPcsR1zlAj1VqVj+ES3Si/4gJhm
-aU68ptUNaOoWdsarBMyuvAQHNV7W3P6RQ3eEXE4vlzO6HNW1+x8EWFENhZVwEgV2
-v7bqU7REsPiy04yZRB0VWG8TOtGe7gzBTNyJgOKKAEYOyRmwvLxybVO+X/dsq9QT
-EfyfmkyssKsrmoKRBHqBG47PjGuIgQRzVk2FnRMpgD7lqN4tsqL5HfD90Ij6OWte
-uO8rs9NJJto2qoMk6+s/Thm30LFEnozn/0JdI9/LPlBSHqiiyajbPs7U98NBBl73
-xmjTMPS4kuatnHl6CiggBvcLEAyX53YrSppOphjZcCYwGTVl4/cAktG5hRZ0A6NT
-xXnlUW2COuPggfRYyefPRVYnwP72Z10KU7fllnTLCQpOAlTPWhjt38lzsVhyov3X
-DzPg5MJr9pIklAfhNtiBvqKTmabTNM4JBvE4HQSXPncJ3c3Rcg/KhNqXslsiuGcm
-KBTkrwAuzppZ2JUT8297C6si10BubsLaCT+gGSn/P+Fmw+DwUIHCpR9Z7lUIRE2D
-YF1ip8EnPGZk1/M7E7kW/a+XL2BqWdtYXL4K9qq0P5JWS5p65F37b4nS/eejukL0
-Mcs+qltMJ/Zk54Z/S1Xe1/oVLV7eyB5I+x9tf7Z3qQBYCd5bCBSxcXYQDLlA8fF1
-YxDOWvM/TjTRZ8ZVDgidGVVfn5apjMetiFlCicPqzH6PIZF+Hv1rOWq12coZ43Gf
-CScS/TN7Njpt5pQles8HaXupupvIEbU96JzLbnpjWWpud6h8ue8v7mWgWVbY6hoP
-ViQaXi7LUTPyZaun/Jh0FqrsHf9N9Ms4aUZERkQ7mfbQE2pmTHaPC4liHbxnHadY
-4GOLDcfYgk2YD7EoLBlewPPW6kFdUNOpgZamnoW9snlXkvL+1kbhKVvVt22VsWS/
-PqeXe1q50K7a3m7652LYX1nQT4tGaEs9Y1jOdq2gVNFgkwc4uPnBvv4CnHSlJu5s
-xvJiHjtCkbHjqkThBIcyZQH/N6d5DNkZKKUNvum2F0InwLxjYkszX8kSTPyOxRy3
-QUy9E0l2Kpziuwk+nwb/5iOgGjEinEFDq/1MnADTZsi7+L8DymO1mIh/7NrZHYtg
-KgEApdEcgnmyMYlRD8aF8l99gonVmZH3Y1VbXgQZ0W8Utrl6sXA81OkN55ieX2az
-4v4ZdiAF0dYefdDvZfqIGeTsvCjFQnsyh9Rm/AVJ7DWJgLw0HsY2btqSy6oWqBAq
-2fMqw4mehy3DYBnAPyLtf+fbgf99eFcbMaoQo0lm/O/vM1QWKk1zlcjDpl9D/6YO
-BWQ1C7fFNZSkbqJcZOjED+tUPWoF3sd6ZInE2sllU2Q/ZIwf3OS1zYeA7IB1hL3O
-pc4rsO+w6004SkZ/0kW6Pw2liYxxpQFI+Y7ek/DjrWsrmnFYM1oim2pO8U0vEJG+
-7eKiy6viDtfvp9TdYkEGFw==
-//pragma protect end_data_block
-//pragma protect digest_block
-5aqXbJASD2gxWQneXdFXLSZJFCI=
-//pragma protect end_digest_block
-//pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_seq.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_seq.sv
deleted file mode 100644
index 0b9ac256b819f31757c9166d5927f27af3af9931..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_seq.sv
+++ /dev/null
@@ -1,199 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-//pragma protect begin_protected
-//pragma protect key_keyowner=Cadence Design Systems.
-//pragma protect key_keyname=CDS_KEY
-//pragma protect key_method=RC5
-//pragma protect key_block
-WJzw8b0W2i6kCFQcICpROkW0HViRLzyeFkNXS9mdNxkJAwmz5CelUPHIRB/2gjlj
-clJKR7EPzcVz1GJl4GE0HxX9b/QktvuTGN6fvXMdmRV56/awhMfmILBIVuglEgq3
-CR3Is6FtEzArg45s7MtWIJFefOWh/DGbdQKKLtAOsvQCIMyBRsdM+w==
-//pragma protect end_key_block
-//pragma protect digest_block
-5YfWgX8eX0/a+pZkRFdX+Y/UbXM=
-//pragma protect end_digest_block
-//pragma protect data_block
-gU8P42/S/VIf6X7Be8lfb6Ko9CCEJB1gAxks1XXzT2Nn2unIsfJbvF2H4np4YZW9
-UmnjRbeaYB2S0S5xQLdQWqnGKlbyLQpaCxZuUlQwcb31CnvoO09J6lwoRfhhJiwV
-VbyZFUJ9TmTipXoeUcWSb8XsM+fzu34fT0+ydHzHXcjRi30lo65HzeJKCBKR/chQ
-7JWxUvH3mskEa11vcD7f5any762m2htN8uv9YZdEdHzc0mrz72bp3AU8yUXLPBQs
-YQyDoS61VjHkyH5rufa1dZb+5SWlfsvfhFspf5dZMniOxshV453UrUe8tbSlBq0c
-bq2I1NaVPyikwduLkUWv1pYEMNQajYf12dhRIJABSk7oLtmR9TYGLALCTkFz1Ic6
-PJBmIpNT5xe7Up9PeuOjrUyne4Fr9Y34sfe/X8q4fOn2wC4DS+gEX9pR8FxD1Uqh
-P4dGb7oNVg4jUMkeHwjvV+6cE3KuRi1BJJsoCfLNdqRIdXAfMT+hLy3W0ff6ko68
-aR1MQhu5kn5ZfJRau+B/9lzwy36uD5KQt/CYq8qLkmWpYcIuEZs2cYSBxknTgUME
-/I9E0gnkyeJThvl/vgo/6Q3OylcRIb04ZpAf+4Eb8hHEKdeQmXk/nPLAW1Arp3lY
-0ph6dR0poiWs/d2Y5lQvCDVXkSaoUBNs1cpKXdzZVJ/SJAg/jbNGstOaYqH0bU5j
-kFgVWddPF+Jvd4gXgOGycN4EmURXdh7jqZevcYo6a7hh1PXOyVB4m6B65o2Vi+mw
-wr9BHVmB5K6ZZGQpjEMBgunyqsMU/yb+K6SuRVfEPv6AF04qohcSVkgzGaMawgWx
-yWvhl9xQnywD3zXj6XvvnYJ+B6SObXYvirgSO9T3gWRkGpWbZCtIi/GpIuOsTHHw
-1p6Hd+1K+/Bl2g7cQQgjgc9a24/fihNaRoN8CIOPv1WKoGfAvamBGMO7CfXj8Pdp
-a090CaRpVZoB0Yb12ycVQdbGusNJ1PST3DNQI5FhhMQEkZpWH5cxt0Qx9qfctBXF
-L5ef+vJ1BFVeNrJbudXNqggJJNaKP39L89cnNONgGUSWnYO5drKo3KZnI0xPB1oH
-jY2cthXSbCSgqcajuNh4Zs0el2dnm5yu5E6h0FKKZQNVRR1jcRSUhEVyWKgpLzvA
-Mx9e2O1aeIBYjLCIVT+v9+yNP04ZuF8r4k54Af7c7eRvR+9K3Tv+OiSp8EDMkFK2
-hwKUu8v6kXLB6JTEdkQzpbOX6UBiCNy39dK9wiOKCumjSRknfgvxy1fhFkKWXJxa
-uoVI5evhpGHuNp8YpxAYLkGVHHxEBq3tgEEjMl3AbD0eYM2V8InB1+8F89BFJVkb
-gQPlBDhT9c4Uo6+DU+3Hn+xzgNCODc4zt4q7ZQMk3vAoMlWwWEY+ubKx+5GFd7DK
-1OBCMtoL56avZ3d8niDJyPkrppTBEhPozOEFYS0bXcFUPYNgm0dQJGf9cEt3c4jV
-EUIULO7pZzB/7pcWPLgSlCY7DRvwZ1kGx4ntOy5waxF/+7Mxo2wuVHv7JCWDGBSa
-tLuIGNlGS1FhZwesMDaqd7iDEqch3a1DIOWyMfDHjoPLYaQKlsckhlrF7apy9+/M
-ouECc+pnp2/BjDGHMZJTdjNbBVRSvJIYIV9aeAg0WlQSAjLs7IDpMuxoIOUIh9pE
-ebqnu6m6pcFkBSTZRlyl8yT8YMjstf2cszSTcwACTIAKRzsJO2KqH1LZjbNu3BQ3
-TiotA9NVMqmmGIBkcWTDNOSsTxLdp01gMvZOYCAOsnVXKedHVo7bCf4bgbRn7szj
-fC7XSNjRCbN8M8eHLdWeTP4YA3wcsnrENqQYil6JWoYk9msmMDOecroPB/QYh/OW
-wik0N0cIx9TuRwIc8/w71nvRujEeZacTJEHIZvKe48G6Nd4jIbR6PtWYBiclvmSd
-S1gDoRrF+jP/Wa8q7+/OfjRzknkkfFaNE8XgGUttFcZ1E582TExZxxvkvrw+YeZ6
-pSwjPiDXNiwk37dLsfI6sBt/LagB6TxYMtUM6a5lfppqnJuHnhFlVZ0MC5AYZ+1I
-WZO946f1z1gWG70Pe6tWe7Wa2XIGvK5S6wVsSR0Yl1kC4+rmuX3ky01Htb0JFkMK
-+LwSRZAohc7P6Lp8vU1SD5tyaWim4w3hg3KewVZytoTKebPOdSHhfAzX6JrBSke0
-4EjfXfq+0GAAeP5kVjmJ38FqUZU/yS8CBnE4LyW93nP+lDFh5Qt2265fHz3KWj9a
-beGLFRD0tx+n1a6oUJfuLi5D8C3DMRk2Yi5RshQQypzdRxBIvCsYn7XU+z/m36N2
-nD8V8eQ8V38dor7pUVFilMIOWWiY9EK6osYwTNYRMIgHwMmwBGACBTHyKFbtLzwr
-35+OdXR/S/CFBI+6+fEvTkO9CpdBNQRzpadsmorIZovhyV/2BwQsHgbNB9TLsyiz
-NL4WhMG7SCqqsrcebmdul0XIcDa23agR5TKRQiXhweXLHyKnxAyYoJO135PzUweE
-CuIM3PXIa2+Unb6VW2cwhCoOIJ9djLZS1SUR0x2CaUgIUGzqR3ddjTbRLSFfXKsc
-l0z+Vf/2oMmVjLhtSk3uLl8R+PzR3tqrmcpRMqac503HJz6TQSSWAPRkSRo1hGPz
-dO4eUY3OmXxmM/gCE46fIg3uPA0aq/yc4RJ3qrWW13f/mlbfRVAyE1QiQLD79A4r
-8vUyRajXTkl/P3Y+0fTf3pq71/jhfEZUFBiv6ST7w9xmFyOgAQm1R9qROQvVaeiO
-LTUGxMAL0Ucmmv9SaDu4faf2q1V8A6rek9kI75ZZcU0PDPbokrL+tpThrk3gCUxP
-xrObQx4zL8ra++8ut61WH/ki6I6HefrEzASPhygj/rQKf/u2eF2Ec6el8xkYvx+K
-6S3Mbg2Wo9Psgq33KIMs/bib/1GgwxDzBKNyd30HuK5lSi04hU/HphnTA06vbShg
-GaZ2hEJvtg8SrZkIrqI4tbDiDDce9A4u60RQRYTwgZPJQlHR0peaF8Ycf8ZpxLe8
-CRZYC7jzYpewzmkMp9jb4Y5wUmNBJba/qGraM92cBGCpqIpb4G1QLU1qkkpaPwMU
-AltJ2L4ou/Fcw/QAcbi5rj7kfQ7mhaTAwbWI0qoYLHOsCuG1VRNs3DUKLp5rFkgU
-vSJj2jfhJRTeVn0QuMWdRhvfJk8k4mGj9KWBdM0K9apOAZyVKeigZtMhtbdUaepm
-viIas7nynUiwIz31RujQ2OWPyRylN/r6lBoT5uB8MTLqJ6NiVHekjjR8ub0ctYsr
-GUUtskMAB2G07Ft/ZohgrOfGT/RggdEujpyMlV6IeiG61CpPaqpbublau+H8dUok
-/pZ2a0u0PGb7XKT5JzCGudukK51ijvpPY6nf05FTe3oxI08F8jsmc+BbA0PA1UJt
-+ztUwFg6joHWSv7jHjMD1iHnJvsVKexb2DJ2BsHWstjH92B7jz7zPV+CuH4pzws/
-LzkUxeX50pRvk6eLJ5EKalmdx6G2/T2TsC5oBrGM07DAlHRZlFIcgDZ0HYXZS8sj
-sXTTtPjq7M0Q9OVxj+N7e3ZRfHdfuIXqwGTL11sv4V5VFtpKccYyWJrlgs2fuQow
-/NYX/ji8w6KsEQE9wh3mJesXeXHSrZ5ZKBQM9lsWUJSLylNiSguVJPFa+PlP1bx/
-udU2WvV8l76aefFjqPk7+EcdBUH1JcbqDKJq0YASAntMQk5dDUjQiExGJnsQOpM6
-L7W1dKhuORYF3nT5qOKjOPUIE3uXydhU/EIs7AdtshElzLSB1yd6o9jKc2xPnVX9
-BSPyi2ZBAhoJvikZUP31nxy2fgZ4HJGlCnx6Qe7qMAiu0p60A8ulpf6ZESsLeHOY
-5bogte+4j+jl/wWTyaTmVOzkRWtKOBWtAowviTG22FapMkfWwU7/v/Wt11Rdwa0r
-r7MJI1+lYTuJQSnl4JidfZyYVkcFJjI73JyOYPhMtsY8wR8hWq5KFzvEgfQDLbKm
-QOfKfpa4VyJVclEJSLZeFBLetyGP8haYc/55/+Pyq0ZUTT1jJ8WJ523fCkKjmy+b
-5ZN7zvJkl4j3eLmy4+ImJvtTINmP87GMLCuqXq0ud3m5FaMYfw9Hg3oZJGiryW4i
-vNEkO4Cvs350ErDi+4YKk3zltTAxFng2X5aKzr0ceI/4T7Z/nXjxpitbM0W/hxlo
-rk8d8oo9mK2cuTYC/+t2Iha3CPtIw9pz/X59BxKCmo9/rVQ3Si+uZ5qLrL9/+sU4
-2S1nPAnDu8A0uV/aL3AW6MG5gSqTADsWI7LQ90TZ0wrZeJHTdHNb9tYI6GIJpxT5
-Ezrl4BQPG7wRj4FsleZ8WbhwXsMa1XJuMrRLDT8wEGyond7t2bAAZBy7B4uZEjdj
-3ia08+Kg6OEIkDfSdGiVaha5dFNnsl8rXAfTuqowciRATUozJ8Bp7quD5ucFN0Yw
-M+yJjba4Xt1oglu27Sp2HJ4TredmpKjq/a/R3wgiQS5/LfgRJoayjG4uwmAwd46z
-8vgboPE9Y1vSTCfL5AZqenDHBagBtPWVK40CpfM2lW4Kq9duoHX5kJlGLQtZcDQR
-jRipGbNBJNl56lCpU1yqq+KVi3yMfqvvlMkHIZ15ujUBfO9SwZ0G+DTiYhjmnPGS
-fRTgk9npCFf6NWJf+DV20MlKx2SZz9oNDhsK98VkAekynsV1l2dxeLbgqQW5t4Tz
-gQL9aaVyP8yoBfIQQRRoOeeJKfr5do8fK1E9dyt26B8AK0YCDG9U0QvoX6Yx6gAV
-uxIWKUBlNIC9Q6+w3RWwBU0/cPN1s24hzDxmdSLGtNmrDWUHUynVOfirx3pmFtEU
-Vqw9z/ugovWE/YRxFqCuvQd0AC3J6NySjHHILGOvzNVO4QI94EjgGlXN1NN8O37w
-BK3D7rLTo/8GSw+ripv3CEFr1ACuAtnWzX9z1XM3huWu+smkHYmMbWWM+PD4xfwq
-IHI8AO/DiO1HaHfrGY0/QXerkBz90DuaxQBp3fjJNiKpccQou2a2Dl+mt9pjleLU
-WtEt37dX6z9+G4vkk2q2XbSJPswdQMli7CEyGZFdXXNFaLrsA+53iJgHVuhMOrBA
-3KjDAuGBe8mYoMG+121bUPODXtKVyTCiAGNI8rOXDZMnS6vU7Z7ddzZXfwwn0EZr
-pfC6W2PbTaSN/vl0oNeQAQ3AQWgDu/MnSReLxIpD3OfXNR/ImFl2/DfjDLRXFRXt
-ClGEz8hIjheiz3SFoZxFLAvBr+pdvJXkVtjET54w7v/Zq8MQIlhHdiefCJNYVu7D
-PHw4B/WQJGmYkmrSZmueNSJlXS9U4Ee2NQSBuI4XHuFhN+MDONJ2/D8zb3Fiy1BS
-ipw8xdHislxy/AVw32BmUbja8+yAPcrSpcvztyjK4njxzfvWhJiG4hAgW2K/RWgs
-8KZPAjyezNSta0QT+p8QkoAJUh10PK3/nAo00WgdTQ0ar6v0IrYCDJREUHC400X3
-4pcfbRB/XHs3ndB1f50p3dXZhqx7zRrXVTj5l47c0lUIHux/K+VYDkrqSMU2MV9Q
-UvhfAkhJjY/JglahqzMQ462DC/LP1l2+sQPwPC7R4Nf0SsWwfh01BkHmdy3tnr+f
-gCMM0r5X9YkpaeISyGVdDoXMMnW2/1CQ2J6Mle+uvj+4PQ444cGHRPmhTGDAKib7
-mLgBJ4XZyirAYUDDDKjAs6ORE1KXVs9I6qImEH/flJunhbDOCLKXa7s68YO/d85b
-tgHZ7vCY94hhHkvb6vd/jo9Z4CMkyaakJtd33Tm3eit+c8N14wScCyYHb6CK5s6o
-itE8C/O4KJFMUuzGEkHj37F1eTCDDA/DsxXENmLva+aRBLuhcdwL6SNJ8jji3zVp
-/mlfwWygc9rGoCdZhWh3kPwsKhti7MjCahhNkDDrnwlKuvnTjsdlEoTM504ddggG
-iogSlfosP0lVkL4FTOw8xABm+1hanxc8L3riciYyQqfB5/QtDjIEkw7I7aPleJKx
-gCKClrflTl7YAx3gyntI/eWG1/XdQyZVT3TvrYbJi0cmYHw9gkt3CNNrIwmMW0MP
-WmhH68xVDNX3rAt0urWOaxVFZug/p5lcVJIJx02hzvOex9zWlIq+4FUXr11nOakH
-vYIzaLse5X8Fibq7/dcmZ4dbqDVv+Hrp0ISpVK6QmJ7I5oclE+AlYRDFrhimkxo6
-L+cz9yaEMtmISFcFg1qh4qiaTvApFFofxEuJq2n+F4ooauxYpTH6lbNwGOW5GQZx
-wF5lNJQnZE7K+NLNWnCUCw0Jc2aEDoFuxv/yQcf5sO4NX9c+SQrEoazhuHPxRG86
-h5L6nN9S7obeMT+MA1eQMAanbhKmuCfshjDth0q2KH78xeAkO1085wDf531qBOpD
-z18SObcEGfrNAC++RnMQhvU4OPfkWpUG/1qAU991lOynj+lUtInIoeiQqhG25wrY
-NaZFnoCaSOoDKnRycwGns4vFusmqBz6ExIQS6z6CeN2nhcZN47aNx7cHDA1QCO69
-nC2JrDS22zfQNIjRMFVy4KM67OGTjFoAQUPePAA8ev7Xd+Z1iawGXrOoJFT74PiW
-RIRI4ROSmJH6qkCwpD04o117ND1/6vFSh9D/Fzc0oslHQ3rAClaf0i/647o02ok1
-oep2KTI4sFM65GrvbK/L1E43nkKCp0ro9EWnvnRvBzM2a+uLafRUnvrgAe8Bdgpi
-lfxi4I1wpXXhSbhMR9zoU1S0nwOwz7VL1pAZZP+THhQmTJFPdYOjhGolZtSxPgN7
-5M0J1QAwmqTr2SZ/xzlQD0VF64h2oVhfL9PfqeFJ8HtmC6Xrh6uQXoHtz45MUNL9
-XXDFaKX+fXBjixxx5ASft/YwGQ1rF1YfAx0tcdTOp+KH3M++sEcGiIwT/4jQFSz9
-56oYD+zQ/DSJNHHa43GsJ6pwK1Exty+pZHNNtGulO8RH4viOAXrHAsyQq8REeQvr
-psYUnKBgtBk5kvxGMVXT2xIUzy9vIxpcPrYdZvdvvWYLTbfNYmFyjMKqfpRFyNpD
-9+p0WYa0Z/U2zmvOUZcEC88OfaJJl9+IBqvnHUzFhSm59k66NfmHhlpot7u8xKK3
-ZgqOnss24N6idy7u61lM/f5j7j0KEwehY8F6r5ZUf8Bl1SHx5QbEzeW+jHT0mRPb
-9SL/LXH9o1dGx/fJHtM5mycsSwPe8zNbN0U+iL+xDjHxac+yzCma4tk6AcbqdtD1
-L81wF4uOeJfzYl8vcM59h6FXBuFzMJha24TfPCoRL5GU99cQdoEIF2fF8w7od0m+
-gJtWwvOQM1Y1eHE9c5ckxR803BcaLlhCgAeLgtoqrnsjStBMrIXt9V4T60ZEmC+a
-72LrfGv1OBYw9HfRoylz3drZ0AQTJmwCB5gbbv25z49llYikpuGbZG7jOmQu6h01
-MBT9VzTak3nlD8ltpMSfbc6563DQy1WK5djxaQnDHFOq6ldSVt0qAE1kDsTU1B6n
-d30zKpA9NJ23vkJErTOD1edTNkyX+Yc9Ltum4Ivv+/gg5CAEmjVt0cPEt3yLSxBZ
-zdULV7Mf+QIvFSPPgM7oZv1gimk4CZavQTcXXHFXhFTRVdJil8uvVN+JWhgQUPiu
-TyTE9ooQ1KL+0PTolQbz8V4PsuAvx9SaUTFGIXzz1dQDVlTmoXN07/XWKvw2Dd1i
-xZxORYnuHBQK0PsGkg/pdCRVS98ukreg4cMv9XUvf67zGmNdvvP+skveCa8yRMVE
-UT1LYUKQA/fXY+nMhp5bSiPke392xQcnysKk8tzdswCt2A2FkSH++9py9NHbnTBa
-PzwNDoILE0vSTk+VyRjwqHcedkMMCeELma88nPBqmrZakR/UyCJhaV5CycLYwcMt
-47b020sbCr5AT/ia0GzQGQpSntC6RDidAEKAOYqDpSiyl6CAlWSDxdXpmJNa5ld7
-Fb95oua37q3Bpf1DxLisG2c2/9EbgdC2iBiwUF1DQwySUg5FOqWFBKIZrKTqtUti
-2WqSDoDQYJMGXvrExKSacLLjicy7wWvmXc7n8+4H8EOjboQui+Juorx6qbpHMPOZ
-aUqnwRPUdx8zoOAtyeN5qjJSTApYxiRAbWBVmBi4cEAJHvNZkTu8PJTwG+QKmdXx
-kPqiGzbpWXu3+iRgDhbNku12+iXJCagsm/AcEHU+mupdDW7iJBo2e3l+MaEOO0is
-Tx7WSyfQw1367bXAu3435Fxqxt0ndOrPpuBab57IRCtvqKo37gDhL6t6ArmX9KMC
-jSPD+82bZV1qo5At+RkLMPtpG7MhBaIP7Kd53NBFNhaOH5Mm7BaHzOan9iDN8R6S
-o5tSkvuOwwrPhd2/wQFZqZ5n9YnFi+6hleil1Q9my+bXjufkiEQv2raglYMJOYgu
-V3HAnxp9IaIcMXCxxg9XUkE17QLAPBOfLb8GG9rKxQVmKB53QBhuKnmCGI7YC0xf
-lmfsF5wcfjE3nP7IX6e6QwyQEuHOtggYJsQRNkJrZNIrNlBQvMVxqDKfzf/0w7kE
-vOgorwZt4a5WcpnK0ctIfttkb35OXMdjsGkFVSYwuBNlb4durzW78N2rHV3EiaRe
-50+hZse9lru5duFeq+HOq1KapjevjQZ2x3Zp1xpdl2vT8OIZUAmARlcUKm7SzCOC
-dPbeNddlh0dAXan+FlXoPTQWh/S4463ldy9+pLoDCdw0t0k6jHeWWAIKx7QlflXx
-PhzWKfHCPqRxdTpkKX5eyzrV3Fk6H3tWBXiDj3xts/mtS2vkv6QnhTKMfWFNvzWM
-QM2/jaRTpD1g7xzTcw+HUEGOA48aX4stiIu/U0yc21fCRtcrj5TfK6BCmhdhuvdf
-6y36MVv7vpoPxotj3enqktxulGABZPb261JTJ7IQSq1q4UZZlLB+EHa/uXeuNESY
-HzwtfMh9MfrH1aJy92YEbkIf5h0nqB48KUitwA0libOz/KJYC3k16W84jAfjuVuU
-kbxuZ0saeac+pSozPqR93cGEeJv9eXAF0yJoxNhMDTUoKGyttmAbtJmuNFT0UlIK
-KaOG99BWnr/wtaUX1qESNEF2re40Q7AhmyO30vAalTIFia9e7s0Gv5XBHv2mJ1vK
-T+g4+iFWGCqcbG6zt2p81ZpKScn5g2hkJM5EXrYmlnbcrYftxg4qpsJJ1fRyIzw9
-ffL7kuPHGtpMgvl2snc5pQh37T2JxHbdejYncY6451Q+a98SoRSmrLDGI9LtaBH+
-qNv3CCTkycOINzBivS5rejSJiuK0fyQDx6ZfBc7irnDUSiLD+NACtW9ycmRPCsHZ
-Erh1UUjF1DXQBSSbjzLTY3LYJbA4IYwAo4Mo5uinJPjQxNTejNwLnMULjvoA1eyj
-I6yjo7oZOOQCDUquEpbXlYYgB6fy/MUZ8uDyY+NnugtGvgv4qF+boHePTv/k/u7G
-+LuXQhZuFZ9122/vU2hIAUym64H/qRQk+GnBCuESfhTrbqYMPUfq9B0BS5g9dnh3
-Nvz3m5z7C60snOLyYcqktJa5RzKbN4x9HzeoBlha35H1tpR66OETnb7oPVRLJWEY
-wgmFchcgY4sh/T120LDNnORD9dNngZOp9gMCQks+Vfrm+SKBKxujR3xHhCxxjlor
-3MIeqVxyJHXfl+iw/tHvXXd31qx7t0whe2Cu1aYQKqSx7YKyf994tUHLjvzxoCHk
-plI9XISduapGVgciLzWTL2345COHlR/YPSFMwD6ThVZA3bLS9m1W9qW1E/4l4lap
-Is70Xg9xDs+X6XeaR0h8up0+OjhfXfj90gDlwq9eArghL75MbvNhINbw9MXoL8SU
-3tIVTruC6dgD9CsSd1EzI1fwFYtRnm0lHri3nrsRCoWtfw/ivUMKmsEf+mA519go
-AJll/gc6zmadjs4QuWp2OE77BvWW7ZRgQhVPjmqIa2aYdOcHu9Gf0jr/jWL+vQNv
-VpAn4R5ZZ2g2dbq5fYTTmMolHcgta+/WUwF09rmXXxLeVV2Wmqku+wrpQo4fTVVw
-Fcf2VM9RIavJJl1qn+A5UyPpBhQPyKifJG56b4MJVX8yLYdtw3K3CBivaPNg+YSO
-/8jHecvmlOiR3BFBfFfOb+tT4d0Vbbj2kek5RhW0qszDxXcf0bJbPAq4lwOtVpgr
-A3owl1cx0yQRjYfTmynG939+yJAthkgLiGygw+08S0g=
-//pragma protect end_data_block
-//pragma protect digest_block
-KZj+W1f4qUMmr2N70hQN5AZU9mo=
-//pragma protect end_digest_block
-//pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_synchronizer.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_synchronizer.v
deleted file mode 100644
index a60ed570af2c56ab85a0071cdec6946a6bf7791d..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/cadence/altera_reset_synchronizer.v
+++ /dev/null
@@ -1,119 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-//pragma protect begin_protected
-//pragma protect key_keyowner=Cadence Design Systems.
-//pragma protect key_keyname=CDS_KEY
-//pragma protect key_method=RC5
-//pragma protect key_block
-rklzMd952qDdNQml5A3BRz11k2IE5pxSNWSfbC+FDuaF4CFcXvrm9308KWniTQxh
-+LBzmSiGwVFDayjtOrk6PJn3EuI3pL+gA560cC9UljSfmwrW3JlhbxlzJl2BlwPf
-eDY2tochQVh6XJY4qykxm44g0utox/uivbTsYsHhP04UkG/2oxJfbA==
-//pragma protect end_key_block
-//pragma protect digest_block
-kx5DhF/T7V0MYiHSw5AZStKgOwI=
-//pragma protect end_digest_block
-//pragma protect data_block
-Kdwj3QVnoY/axMyp0PusEECTUL4M4iYe44ywEM9KyDColnP2xfSw+PuiLYWTc6dK
-nmFKusUdBZGIqmJ1+a1XiQfEYbzEeTkMEDJeBTg945bzyVnQ1crI897bvbX2lbFA
-xSlNB3I7BHtWzMOMy9OYEQUCcfztkmL5Gv6ZhQG0JSsUM3hf1TJNxn8aAY5GpbF8
-SgHBLdsTEUzPqmXOoQ9JwnOwr9RTLUAN7/+iMoRvY1vMaCGM/kHmre6+oYREBLq+
-VAm9lhlLmaDV5zMqBpJQ75sk88WGkxD2gwNdQ39bHZKg4KHY/1CfUUhBlLl2Wplo
-zbE37VBNbrC84qqJPAb9KxxFv2g+/FW7NaZGMijuD3JwXMCApXSqUQPn96ysJJg9
-+suzog6u9T64rWWVJiT4ftBIZw1eNTiwR6rSqrE0yEJtekaTpKo37uTPWrZh+nhk
-+SC591qYcWN+/8Bu8kOKWC9CEOE0uuoMnF49nUYvxHLqJeFrMfqyN7o7Ks3cND5x
-fNk+oaCzTLnpAZx8A4f4E3tN1jmgMz8Z+zkcaFuHOXtM0QkDkzJKVC9/UKv9k3Kx
-/o2HA7CCWO4P8l/t0UrXFzHO0lLi3BXTjVn7HFrZc6wSAxvW/hrTVr3fl05Ah0q4
-asUCS2yjt62tmXZWX0aHsnYoVqqLE5NmewM/mbuI8cfEXKKvmPkhZ9uTlRQs4u6W
-lUuMbW6SqytImQ69n0bX0zCvUQTmFApSkypl3ApL3s6zlQgejXk95TnIgsxgzOSw
-iKhFLZkRhxNQL5shR7A+vYJQsLWYsklhi0VstuCZjQwXIodaR+Df1Fls8Sc7j3DB
-sckxA6DBDVPzMFydgmFlJYRc23a8E9/f2dRYKnXpfIgt5nkfnc9bEPHuEPxxt0Ew
-AikhP+UmYfyf5jxFjE9pBulJBTbRM5UMIex3Zh0BaZNUY4LvbsT9kykLUW6d2+se
-Esp3TJiZOJX4tiGTPF3RMtdSW6wofgtGgx9f7xabYgnvvddiM02yFIxegqJPakoJ
-J6LdyH5k0c7PiMqPmxdCKeKDuXFE4Yimnx611+xtIuYtedw7lnv3qS2J1Epo7KZF
-95R+whzCNJWQy51NJrNXgFs900C5fxvA5hHzjkvMLIja7+Fh5a92mO1ixfHhec6G
-aTO32up3duRAv1/OXHQqTT1ebykKXdeL+6JBmtV/R3Ovshicso7MfQlx6k43grxE
-HBSl4GxRhR6pcwPLlkCkqv5z3RV7PUKvVsmlriZC0DtT9k1gxw+qxOODEa5h85gb
-RTt5J+fHwKYAPY6v9b2c9YfHWdtwyPXmlE05ihHokXJlnS2zJf8xpSck82AMZxiX
-9Tofs2xgmhXAEpG4e/nBAPsi2Vs8VmG3ViTVHUMmnK+br1kVSQVMIUTiJ2T2zsf/
-CUvBlV19ydozy+RC5SWdGHj0jv3u9CR8ZGDW/NVekrCOhqi+L+2GgPaZO50dw5UE
-fkzKQzIf4oPaRWb4+PE0TUJblPmoXjq7Qds3p/1rdlNmFWjCnleLGTeZVAn1NZmW
-cBsoTKNfrSFtkEp3lxTDqf4nclf8UTdu8Oe/zs2C6dH1PL5XxPJgB83VnF43ANNb
-Mh5kn7Q4NTEmSz05GagCwhR1HINV8bx4ICJn5cZfC9/CSR5WZB8nwWB0AyObh55Y
-5v9ZxiiYKxr/zFV3yrTlW7izJsFtT64+L/u5b2iBwvBkeehnr8AgadGCPUsMWCO3
-4QIt7JsZ3gq2jsLBkkRV2T5UUlCiXzmGPjpOlcT2kpz5UkzDuOFE6JLGG5iIHfpx
-jeKL6tMJ/JWeuKlmFb27N7Y9+a/r8byHT+okJS9A99Y8yI662qCrPrG50OMIugI/
-SFY9+wAziUZOwahO2WJKvl5rJyZZayBSPjtsZVOTiftvILtw85eDmz0lmER8eZVU
-hZKgmoSFLRc1FVKIp1cu6BuhuG8mNCt3mLw/1yAkR7KtScJDim4+DFowsfekrwlo
-eb7sXOEXpXpr4TSyNjgUt6c0OH2F37ewYVA3xUdAQ0QSio2+tZHir0ZUZGroznpl
-ZryUG8pz7pWt9j8OEUjxghg2c03k9t0nS/yUB+1DKvKi4YsGC8zoaOKhFCSygq27
-62ImT8HpHMJS/dIDljwrUlQnBhkT4R9KL0vL5Casa/Wmpil14r984WmMgrALVlvI
-Kwdd8fy3FuEztnIs1GDNjbdXVss06GDuWd7uvMKFXEol5cL7n7eXm3KQKq3XE3vC
-ctIAjwGECFPj/HFne89Q9gV2Bb3pYPaAup3/zCZ0AR/fvvElccN8qnx0vYAY0D3Y
-yoWz0/5bV0/nBWDobad5GPo0WczOFbKJZIT3vrwzp1jMwjj4QaBPOIkjVVoVE6+X
-ddgJAr60I8ZWZBgF2Tz91DqCVAL3nkqzENYHunGhe2incFXAMVNAKIYPdVMCCQbj
-Sr6fVFPJ9dxwpiWA/H1mGK9rflLlpvbXKSgrC4ES/pzZm2+OYCXnh+llrn/rt249
-sMqZo+mDl9n7PTu7fa0pogWC02txfTZBTwMq2GES0D7qBEvRUXs8f/zYMEkD26Bl
-ne2eFtkvu1LiH4czUtckY37gMYoWDay+x5JR3IHeLxuRbvRaRIQ2vXhshZR2vpXT
-1PrH2vJM1ZVJTDfPTG6ayZFYUOt68PW6CyBOnyxxiESQbG4qJCSSCs9EmRGJUNqV
-lILJXV+IfcOifUPXJ3pnJr6rFG3wGj/9+NqfvucrsEX32lu2xtsm95u1uU5MHpwA
-tEPJwm5Qb2Tscq6KgbNlLw+CeZPFQurNgVENkijo+fH06OZ3FPI7cfa1r17U0vSj
-g5InCCvFOLqgfOICrJwlyhEbE18yqyOwGhGzEQH2GVeLsuw2saOIn6pwAD5Tb6hM
-TrmzHC6jtey0c+m38WnavmwYzHtRoU2ri8eSrc7u4gKXxuBa7/xQUpDBuOJu/Vuy
-NEOt+UJNyOy9STdIGtieDSCyZXIA73ie/LdZApQSFsZ+QZSm5EQOCiX6cKRQVYjt
-i5urMpJc6mC/BFiiNbysvS7Ti7NPkOAtd+PKIz+0A/4Wtu/N3/nduQq0kxvJq0Xx
-szinPeWa2BShFrxjNsY2Wj3F2rcZm742Puvopwh5BXYNIw2O0ffH18c9nxGg8yf8
-PvQrTbLLr/boEkrFCbZLkv55d1UMwlpsXygqdLYe4qh65GNXeFmfhG5Jib18n57J
-sedqZVIdzgPjKKNjMGSSGfvGOsRVEhNpmAiruBXgLqum7P4z5dkpDdXNoTOmS4V4
-g2VV+9pnehsa1KKcLFD50PQSH9U6kL1jeIweYOUP9M1A2nZAYhn0B0xXYT7JeggJ
-Uika9gWLiqOFUuQCKkfiDhFDNMwn0r0Xi0qIPUazPgPKYPisYwqNduJuf7fdOhNr
-yk/U2mMay4B2ci3J13ivsGKhICnuAQeicWV37tVis5A8PtikeeJdLz+b3LwWdMqU
-pQjotI77uKee2iGrcHgAJFknxQ+h9KtGbDzGlyCqAS/vMrZ24yT1ENS5gDxc6V3g
-OSuZp8yTqQvgn20IYVdI/LZzAdH6HyBe3ITgtXEcaCuuN1eookFEYAdco/usAsM8
-OUvPPgRvVJxld2VWAi6fxLRsQ/v9Lj6q8hieAIAojOpMb5VWMERQkgjykGgGM2wM
-/x4Un3Gr+vcmoA9I/2NIYmp4/sdGPo4h8e4f1ohbMd6t21/OrxMGXBXM2LROtY9b
-XtGFijkl54TEehnLh+DMZf2/NGfykgcDcuREeCp+snciaGGty3iR+glwdWqGMH5I
-yAbgFPNLSgqQZsb5oZrsGYyjDh+M7i0G5/7wyYXmgpzGdkddDg2i6hcuS3FSODVi
-OqZEseB5sBOHjz6ON50pHyexN4j/q0HX3XL8MOKUADUN5VhfgwUkJG1enQZ/FxZB
-DlwnzZ1j/p5ONkx/1s7RypI+Hzs8J2ipWx069Ayt1pPDd2JcWt/ufl98+apjpY/f
-uDGoZ1K6IeMc5OgMeYzADDrAGVT5bum1huWy5C2VMsVcOkCHHgwGCg3hNd9B+c3A
-XHfxLjSreS6x4BZFfOsZ1DHbNhJ5k/buiRPB3tkUdiT+jKaAF+rROiUYEyPc8rbS
-L5aD1nsQV8U89Er4k+4rh7NvV+Y7ruhgRfHnFE1SY4ufgSpiyB6Lal4JmFQ/4pgb
-xe0q9tvwWduaORq2NHEhif2AioSYUrst2UfDcrpfykK54POb1NClbezpgas8AmHx
-Se3rjLNRJp+XKzb/cKsr/c2NSylzURwMl2J16pFR9WDf1Y7bBV9oN+9Ow5m63Dqd
-m4ZXpcDmopr8Qpk6krRsihMvnwL7lQ0hn1yeAKP1zdiB05e4kU9s9zKcc9N92oht
-MtI+LCyg0LpxefG6gERkLHlbr5dgMZ7DuM8cRsz042JNVE5twSJ8EHQZxBqdNZY8
-TwZxmmhyreVVTzVZSauSvHoNMow5ezLS0J9bG+e4u/2lnOXakrWIyE5cU68LXmPx
-6aqYtpf2dmiUGd8IDULoljJezTwMLhzYzJTx8KpOoUeTEem2Q7X9/IuRYBc+SMGc
-y+G20+HErTa35ZJwx82iWjJhwIAhD7JHuC4zkHLtQRDlGxOh/MhOi+PqnwsXR8qB
-yaO4RwRBOsAhgzyt+ri1ntAlz5YVRtWEzKyPzSuE09Y0iA5ALOgvwY/1+OF1avvI
-tW93WSrrvxsaFz7nnRO/oIJMfM+QnhBhTxldH+G/n3srOUvSPjGOhY0g8wWTiHok
-YuwaR5xz02PRuJdHT70+6lXGDa8gBKx6h2+R5hhzO39toUwl9sPlNXX4s48MlTwZ
-0XfSqOHtTpc0Vd794c4jjtVRba/CcyMWCzK+k4IJwQ8/sGTHUZOnBb5CSPccGk20
-Wx/rLEb4YgNUkH2ocFspBpGotLOW5ZyieI8IXAW6YCUx3Z/L9jxP7vTsheiBtsqP
-DHPNKqVc6YSPyMrZiyljW8Fa8qR8gLCe3VpQDCf1+XM=
-//pragma protect end_data_block
-//pragma protect digest_block
-4R4iQgrltIMB1YUoiHgKSBoue0I=
-//pragma protect end_digest_block
-//pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_controller.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_controller.v
deleted file mode 100644
index 84681855ef3721c876c2afa21546e763472434b8..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_controller.v
+++ /dev/null
@@ -1,265 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-l/UYE32mVa3uT7ICmwOfn4J4fMnIjw00CN33C3OzwUkvBxt5zV2zzL1UiCX8zoc6
-SSwcW/oVOenbs8UxGY/dSIOpwmTReQQvHP5j03JZq+n6eDWVVN/MJszMRGm5dAzU
-JzSIeuWSKTcCtKtwq6wvm4fMCWyDKvCoxXxnt0aOHdA=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 12128)
-KRFOPxw4mPWc5LYf3mVJo+UUdYEKENNN9bbS1B2Cc9KLnFk+NKEQX89Ri32B/mLR
-XiwKKlrIg+KOezgBuat6Zcvu4tN1SAwRzLxZ8qKFX3sZzPrq5NR+EWMOf0s1O/dd
-wRIW3FuxI4IMDYbBLtYzpnKyf37HGwls2KFi86z6mNEM+F6IFQn358m8dMj5tClR
-jmkvZgoclcSKPaZ4JBCGWO93awLCcIkH2n6gFZWNXEAp7QPbYSiSYzCJ5CPLN1zS
-0OigEp5SjjUQqX4ZrLoHDGdhRzD94nUv1x4WXmFUul5qCAb5S5105KGyQqCAqVR8
-2AewIDoxvJhHJm2iRbDJf/P1V9519XsCrRhGpDh/n9FzWvXaPcDAtvNZE64t8wvI
-ZInm+9lgYWs29GyFpFJfqSJ/j1RgrnYtxdoTWaCGgb2dkcfx0U1kAVwHn5F2rllT
-I/Dtvv7RAtl2oLOYRUQo9ZGXGboRlhu/nNWloD1EDb+DbpkNhQ6rc3YG19hBSmRk
-W49HjYBXP7gIcD5jHFItJdp+R4Ph3HgdleSk0SXbHz7YBQvHlqRSXMEBebIBmOtn
-jcYBWk3KrE8zO5LlbRUqIzngyBlW9WY1nMzXGfmczzqsFWcUNPPNuATzAozURyzP
-c/x0getGbDreKtm3maXHz57ehj/AMHZnE8qXNF1OmCimcRQJj86SyuonFHBriBQL
-S/pXB5BNKcrQKI5ZyuCCkGeRKQlsUtaKjxLw18UB3lVi6ju9KP364bLmjEAIozjm
-n9kEP6wqcmZKicUnJEdSyEFvmeg/mTGZhOTcVfexDm974tuLMHYCgggtYL+OKs34
-iOhBVPl/DheIb7t1KzzAFAL4UaSY18CybqHPRI1cJQLv9OX78qKWsnmbCNjk9EES
-IJGoYQfTgujoUaiI9sp3A6361TObt7X8o5FFVXODKP5l17tYcOd0OEhwlf7zaQ22
-ZDc0Vk7edAqkfukkB6a9JVd087pBhpz8DE8wkSdwBD3tMSHJUx+I7GQqu3jE1CB/
-ebc5E5PGDHD4T1qVso9ag0CD4SozK2v0O3JCwalnF6u2t/Af3dOU/0+ncV8JJvPV
-vxzGfZ5yJHavV+R26yQEHLqh5uOkV4go7OsuiK/iY3mVca+q3M5LhxXYh6yet0C2
-DxmC8R8uy1ULjQmyY6JlGFQM4YbuurUOPyKMwy+6+fxlTTn7TfRZyYT2zmfyod7U
-HWmMoWjaZJH7no0+tBLIlqEz9ko7SHZywjvVCgl8nE902vlkfQO8jx15qQOOOmGK
-Hc2rYpNAezven/hGmFPinnWZyh5soUusaf3HUrmYX2SetuRRhAuHEjKGjXaJHRFb
-KNnEcGJ7oI+WStPNoMBYAe+EVkX+Pnhbw7ZLV9/fxKGq/FSGYCq+HZI9tVsxqHy8
-3QeeTknFokY1KlPnZVXyYGRPgCPwpcVmN0oY6fnbpdf8ZVClkixrGIvBSmzrwxF7
-ZiGV4qMk8Xn8QYHeIQLrbnJpsCuWfZJv97bXOXgiTuMuG8x7vWBa/5/Vb39pKV0W
-YFccb+RoyOYfx7bnnW7ITc7d+V9jGJg2nqA8r8Os1scNwOBSeZLBEzLwBAE9mji0
-FrxvcphZ85Don9DQd5pTzkxuUiv76PWsTHaURS3dmpZQYRTRa82AV1omnei8Goro
-IwQZvpq7svSFCDZqt9JDo7R4rWiUOtMZURKFAfSUGRwCxGdJXy2aLgIt+7HSr1uG
-7lrUTvrPt0gYJWH3DZ9XgKTcMG98Jyk8kIB53ZxO3uuQbpO2QPpKe5p1vqzZ996f
-+ovgwPu+NCkyZLRNEX2D4rD6uGNuk5+3JzQIkry9M1oZP2Bcm8p6aPyr4BsjQRI5
-vLRsbj6pZkkQX/A1vaJxX3/Ag8bPOfW8TxwKc8LZ+BZwbLXRKPbnD2HFqcma/Irw
-usgP27wumU9AvCH0tq8iDoO2QsCdBBorA6ai2Qk5tNF4jMt4BKffNps6Cwu3ZLq+
-NVTnyD3uTild91XnkRb5XY68YMx91usRr2AcfeQ0NO/RogI5yzsxesexJyZgc/B/
-pO5Tz3HQpXWzTWMIOwZLwpjrM9At815dl/ZXJqAQy07msGAIpAFVNw2ZPfJfygN3
-IvFVa99xUXgD7V35yprfiymS0mTYvXAMjBrpcCkCKFjCCwY9J9VPT0J0GyN/kZp9
-4NEzpNzV+uOIdTIOVr/q9xxFYxGE07GBUtT6AvhTKB4QKUeDKHFAH3jmP89b5WPx
-7IXybsoROp4R7nHW+3aQqCSf5JVSiBpBrxofER+hbGkqL7mtVjl/RpPf/yMeAtGc
-rh1So2XfE2fiPde80qVkCSJy/qYNAC65Mph5lW/08EAItXvc5JbdCAzwLh4tzKk7
-R4FUoESR7jDd94ZqnVxJLlH9Ny9Keu8XqjInpm0zUIJe3ddRIxCCNhOEimc47vzj
-6RETK+wGFzmkFJJCWyvbFsjYW6mH8MuqcYg0RBLLmLlSj4bSsmGsBVad3bP6G5oT
-Pp4YxJf0f903N2zO5WqhfFQrA1BTa6mJ7Wx+KwlLKEwa/BAGPdcPOMtji4zuZNwv
-VYDIbE63+2bwEq1Z6c9MC+QK+4OgIFANQLGVjc/oWkSyrlNzgY/VsF4HdKY7i+76
-Z0nqidFIOwDj+dzb5f0gsiHhPYQ4IDJZDnYK30p0se16wDrlyIoP83Tj4mAN15a5
-gT8ymp++4f+ut8B4ue/atXHNkdxEVSOD52iP1a4byy6g4P6kykwRWGHolwE58l7s
-EodvjXaIBDSxmXK+iQAEb716VSZYfXd7oSVYZsKMT9SnTvhiCLEG07cB1eou2Qpm
-eLu6Ld3AnLX0S691SRO7PssZ7MjWlnAZBGB/Y9HDgNz0fVTgaFb0gC79pkWaxQyp
-9Ze/Lmov6RyOUzI+/FA3+vN9f3I+wsH3PWcrL7UzkY+n4LaZ4Q7ycJ76P16CslaD
-gX/0lM48mNjA1jspRswvnhVl/3ycIQCblq/ivvpeHQQBzsgf9Pp0RI/djGbWJsfr
-/82dGVbwYBq74/oiP4Q7Wt25InsZQgtlEcqA6IvWr+Arwe+1Lt9T+1iEn2jadONI
-PhKZRELWxJXpH1bFKEyXU/3Rkjh2bquyVJuaFbkrrzBKlamdQtiafzA5qTcSI7H7
-f4nCZKVPDQ+2zaLx0y0vZM+1fpBgVG87/u9BmM5StH93QaYgyfjDWJrkmhvv0qZE
-b2amqWB0wqUX+KHqJLih3YH5LCV5zxRrTqcrZxTtwXyPxdNc1oZat9xKqVZ94oFT
-2dZq8iC06skOZq3XLlI8foxO6m7kl3+sjOBGaeTs1qj85cBkwUuEe0hOLoGojWz1
-YcqEL4zq8xHztBrnpcvRqdhPf9rWZxCtsf/hZc/if1AthgA9ZjtE1dwSRTuKMjbr
-XVUoRvfcnaR93elrTc6yjR+jBtJstqWNwwu8ob71svqW8YiiR648uiiQYcHK2TX7
-PtkW+iaOOYcE2HYqZ86xGOGQRy9f4RZbozs0wfnU6dws+ZtB6WAkXGJ4W3XJ5vbJ
-gaonpPmwsmwnXuS29knunEdLxy6CL0VFVV4wrBXT4FzmAAQHpyM70xHKle5X2hXf
-/9ncCrix6nYZbEQCXNUuIQiEbbzKjoanpUeYkrU7hkVmuGt4Gg6UrBi6JHjPJL9p
-FSFGgnLvsB+6STKRbOh+JydzvG/XfFD6G3rMK6vZA70LpSqCfYsY1ZoqnS7cVrXa
-wGNCx4hQ676Mg0WHgjm7IxeJs603BQZMWiJtx9ssbJ5mqLAx1BuB7lnuB5TW+Tr5
-gDa0FvstpoGoI9VStflushqLmwHXpJ0CR04F4rYfHlD0I6rodfyp0R1jFX8Tc2Nk
-8voaiPAz5+squF3CL4GDfqE3pEVW/tUeBvtfl5XLc5CJUZgqtZ+UjzQLV5j9L6v4
-c61cg+PwA7EUAZf3rrucIP4Qffw35fNt2XF5XXYeiONPsQ3o+KYjeEd6yYUzV7Ys
-RsrYS9Zl4JCVCjnJhTTVslfKI5Su83KMmgZAaSBw9NEX4GMq9bsfzyfcOalPW4J2
-xncxDzB4ij8Celd90bsKST7CFBNJzvpZRjV5jaTmxNfa1rtOfaLVkgPzLEc+gjaS
-B99A6qTnHeOOh28jVjruJVLHbpA+oRpqLny7ISBGXCHMlHap3fC48Zev+uS29kDA
-4qAYFbKpgV63fqllV/5ZSZzwWKje0rj/5CXzAekSLfzgnVetcICrBzSG2GXS1QlN
-vKIN8tQRCEMO0bUXJ++lMKwNPipivvywLPW7Uvbvrb3+7fSvfRiKplb31K4nCzBL
-+O6iNR3cr1IQaQgb+ngirGUGs7qANdgeFwf+eoNKDSpplO/j/vtP5W7pCr7DuhKb
-lBc6HNLkcde8LjAHqf3TtLhmOWANyPb76/nT3SGw9AMBYvpF+S5cJxidTYYzN+P6
-IzQd/v31Ci8TDgSvqNhYzG+spkmdGzbYAZ30D4xmWf8NobFSFL5fpMJnw5fUQoex
-4iowWyhYs3qGeYRFO3p3qC6mKI4GaQzSSKVsm2aSM9BU/sJluGTgOsNEbirtu6U7
-LMojnfrGj44YsNkAdGhajqKMAFTEpbTdhLTo7lAVQBOY9HrvSiEe7zXQfMREmLKn
-G037Xvlq45chSlRUs3jPJ/LGuHZNcRW6l4xGSnV0fuxdSv1tMw+k/t76/4bz6T8D
-vWiBAtStSoiprGpITs7Yq22V+APVhPnJ/v7R9vkDBIHNRK6C20+z/qR4CSSDO7Cu
-QDECLJWD0g1OYdT1DxJI61Xargyd8GffrDBwphDjLUNVtMQOXTUeg0wDjphYnusn
-kBW9O505eGbxF+tqHiCgMdFh7NAJ4yKEEoB/2CLzXKMhJV++iTtUzp93eL4Ehab9
-Z1UuWvBf0fyHFs9MDdYTLjidgff0s/aGp0CgMryRaYlXFtJhG3eXiC6z+Fy9RSWR
-oQmxl7f+DFBAFaym8laBkkcE2GDRwssXZWLdkvPZ3NrrHIYkGJuJaztPPMRuY3Mt
-pWMWamgav/zn9d8DIAFpx1ikb5z+xeBC0D2ucph2vtdo6Yz+LW33P8IKbSvcEUbz
-zPDcVlvdR2nTei8j/Re2i8cIVIvwUIrIFzq7yzY00oztLIrNce4UE+F2v+4cc3Mz
-RMnyojXdGcI+2poWJEPw0RsS1rMcmWbxvCIN65MDHtiYd6MP3jDNRa8Gu62Kjgvk
-4BA7K/eZLrfBSpnm4K10a9vPyWXhDi8wzXAxB1jUDsPM1usslwOmgN/M8u5vDHYk
-pecFH7bXHAeCRXLb2kAuwn1LuujoMjCU4pe1SLPBIs5jqZJ/xO1GBkwGzi24nZK+
-hrzNY8RUfuh+MadOohJvV0nuXDkIbRhwtaYzNpOCu4Uo4evJNrgV/IMatP3v1bFm
-NBwgx70HVCK20z6N8xd2ApjWLrWZzDZHmrbDZeqpFwJtMS0vznxY3YG/WCrCLsge
-E2pGhxVqL/uRaJ/8aCKsoRsenNwNYoOId2+MdM7bY+krgPK6kly/CtTIylvAFozo
-5TVt6V2Xp/Ri9PskgHcnLauJ6nI0njgQsz1cr3irKDpJYUxcX0tNLXrQRdZzbSgX
-yYU7W1RXoiy87je0bIwGknOSXcuhNb/ZSBd9xugJ4rwukyTxEYuuYOWRLx4QKV8j
-NWqJuc/7nNrSlJaAd/R6HOo/0nsWhsOh6KQntkYpi3YpdKllTRTrtqyFJQKJLdbN
-G6FAuYWLHqNBfGHf9iqe9Jc/c/8gVkrLcFB8obgvGnHZIoCe5XrQFVbePZVyOTL6
-Tov2P5+AmKKjJjwj4UcTtD7cnS5DuzI5Q6k6K0ENUxSnNvQloC6lBnl/rlZR37x2
-zyNplvCRpWbUq78eTHfGgHEZDK6uh+cFWsIlcbOJdnj7IlAIIVFt5XAFWsj6k3QO
-/40Hvrj0IvX2nL+dpvvLCYsJKn3q5KIsiZJceg/biKZKzYt5gO6tFYxhRQ1cxN8R
-gWyKC1STxXqNexBxdO4/NVx1LFR9H4CkdPY/6eMTjsG3Eee0k9TSxVvtnju4OAyn
-bs8Mfx13CIBukzXT/ShRDYJ+/NhI6okqxdHFtLH+hUiNt/wFGTfx10SDsQZPm0ei
-duMzKkVgnWpOt3cRLksLVnGsIcPys8jPho1Ga6v7DBWRHdb/kjMeiYNx44oK90yT
-a8qoN5JZtvwHckHNbtONxt+0X9MFmiIWXY1nqAt3qWt5/64KW/u1+UoMejte9A74
-cpvjKjCnE9FZq3xpH8slB4JR2nRS20N9JznVHS/sbMnsPG/AMODQPsJ2qLQ2WWwP
-3RwQ6THi52Vcv9mRHfBT4kpUlBEO4gn6qoS9iRIZNsT0u6H+nQssyAnlWORrSNjb
-kMmt2G2BxDHp6UN+K/G01nMbD8yCyV9RIcO62t6norZsM+eet/51Re/d4ZSpfdaH
-DqCMX/acs+iq7huP4Kr4gJAGlCSy2jqxwW5jgsZDQYQ4XhDg4DPBin52FGvMcWZG
-45VPEWlYFPjOwrNov7ToOcMJ2ZPEtpFn7/CLrkWrTHHAb2akgoiG2NZRn7o7u4St
-bDwA9uaJcZxMjgCaD+uZaemsFNsif7InNgwBrNopn6m7+HQxpPaCoAEFyFVt8hGp
-dH8VLPzXJIouTDxP15JV4g4CrZx9ymOtX8jtj5Hd5iieyuynxtSgIs//BkDv9hmF
-lp3yfmsJMK2oO222T+PVs3mlCUh/zc5D614zJJjQ+HovE5kk0cFJBU4qz2pvf3ll
-KzzI5R4Ix64oSOz9+kMUDsKrsV79HD6c5GIMQp3i3K4gbCEd71KnD4BMvOBHuQ30
-GECUqaHy2R4ZEhkL72DzVl9GnM2Xc5fLd+Sx/4z3ni1/zL6Yr+l018o9f0tUuUdD
-9KuHeyWBsqItWglQNiD98pKAihOFRrDI5/+owEh5A31JA5xEZ359jJlqX3gCkdgv
-uJ3GlsuUwZuxMlJTF9vM9t47nyP4GpKUxY1DwXtBcUYfJqOvs1n9a8gFiPaXYO+I
-7sIb+Gvi3/Wc8XuKAnC1nGqHxmpsFiH7NlER/dPd5KvCLq475QXOJjB/WIVONMwr
-z1L4Tl0CC1Zfmr4fS5eSDYDD0wpuaZLrvWBdd8MXztyJE4nwnZVnW+Uqc9jbSWTO
-7ijNgZQTPlgrhSB0KMR02Z8wXfqOQ+apz6g4aifH+tUz7ur7ep4NsZzJAPmyB5j+
-4yAdi8W3UxbLEqk5hdzIX3pdjeHGp0ASpk1w5ZNopNys4YU11Mtpa8jt5MiRffc/
-Dymb+roVpo7Al2RAzYxLNw4kza3mqoo9S7KnUkU/ZbMyjj3/cwF+GOHiPjCtEguI
-zDZkOjdGa6F8Pg4kjC/bGBXI6o5uxPzIPJAOj2PSTCkPgfwdTrXYxvznPGhEN+Ax
-mFV6KkfBb+puZ0zqgNTrO2ywUcAl6l5to0N2TRaD2RCfFzj734xf069dspgQ5uDd
-kzmAlemfUN4XR2jfui+Q8SE55UczyFBe6i28uNjg/HqTFU90toHmdrznei2YOwXw
-gUYgsX2Os6NwSHsc2jHSbvWPOeS06Ljma0mKvwdSFVeRGVHkTi/NlAxWLibULB6L
-FzDgFP6TRTL6j1Qque6wOJzIgJWr3yuCM/XeyYmuGzOiJlsB8Cdpr/U9hrXxtI69
-m4p+ZjMg0Mc1dIdn5xwAjNB9+tLhw1uDF255ZC6LIOrhN8qr7sZ5+xl5a2zfsboB
-eKfsJN7RysD6qPWshHZPvEEm8rdUu8LATwgh/SE2/FR4QQDijgi5A+jDIH6OSpqu
-u+VPEGsdEvgNOP2wQSxQDZITK0NU65h//YefP2z/XTDmmF8DEG2Nr6l0AjRtZ/JZ
-UFM2BmjKsud/6FVlhHSK0vys9uSo3glyc3SmMbZwILW9ojrGStXUtnejFRpFU3lc
-wKVZ9mRInpKI4id6DeQxvgN4c5fmpRqsu4CujpfcNJriyV0J4kuRzmZLDNst3O13
-w8+Tdqv1gKJ2BENzD8sx7TEhjuWtRyjtI8yGtSmPXK608YXURmtKbeCA1bRyWdJL
-8rWVVfvtdQoTI9per995EY9uXUoq5VoBVJppxQFV7DVpBKKPSj71AQJBFQchJtCD
-I1NwxLcbCyz7wZre41sTD7qRXYQ7Dn403XdNrAYKDT0Zs+NDsn17OeoipI5bYbxI
-MgxtmDeOtEnDg6bMppC2ezYR+15F0AffUdxsYEbxhKoNJHId4+p09h+Aq43I+Iu1
-V4ASAncHAntfyVzJXQwyKfPToKwRAiOGw887msTXoqsgaYe9iJY6mINh0WWinMj7
-mBGwfzO0z/AEeIH16eMZYnPKIOBky/U+mSIzv+rHuIHE/iFIPaS2Au0llzSpEVuf
-e1n6JadfyClZH3kI/MRnWKzrxgzEN8+XL3XJmsVs0gBVeOh4DbA8MGqD1QZWTXIj
-kMFqnDa4VgTiYDCbgsG35/FhK+YOmW08Fokutj6opcGTTQAqLJ61o+cJw+ab+uO7
-f9ZtSQctI7R7DaRAusk+D4QozA8YfWbXpeR240pyUrVh32i3iP2w9FIvDwaStOh3
-MH6dqkjtVDxsBaPquRIoUQb4fOY+ufotuazlsxPDqT9/A9Q/uf2wLnkLWEzLaCdr
-6XLLxEyC3cuBRrH5+CbP4iirQYUIpqR+70oHIuOatR+04MYUeaG0d0b9ee4qQQDA
-KHkiNJ2FQZkjl/SK1bYGEFxymGSVHr2MMgGs6NtV4UwUtC00zdsggG25EtMRgJJs
-Ut0LzHaF4pzYOueVmj6Z+czPvz8w0SeKZbYYX3cavVBNJLCJHG4sZ+CqlMG6oQUG
-a/5YTRKHpUMQvR2fb0f0j8n7/S97fy1FRA3wxfCEYdc6nd4bg2y+x27bRLk9eVo3
-fCGLOZNeMuA9gX4BdzwNITntaEgYL48JJgvxOc9tMCxWbGMiyyo/kCnhP40YUU5X
-TtnOfEnqig1lQZ0E7TBeYKwKekTpSTF6+ovZWu/OfNXfdHHLRaYXurIEz3a4RkFt
-DDP3ostCk/2AD76L3kWb90LkdyYhHP73b0UxKFdJv5uHvAjJGM02MJ3/FxrYa0eB
-oDSFuuaehZ8+UPloeMpZb4gCEQYBzqYkjWB6h25R75+jCRwQ163MIP2dB+u8X1z2
-DpCuTsaRCWaEoo2nSGhL9ZVVjN6aq9BjYucIYXaYPcZpdKEDTDUIaArTRNhH6U4i
-e/tESA7AXOEcfOP1nelWPqEXvjMzayk1RcfWnj/jsGI/NNOHKsAGHvLUfcuQPuQX
-Gec/k7XmnOx63k1COqmvpos4MyNvxqjDiL8qJr61Gm7bbxkJKRi9n240/KcdftN+
-o13nyF6BV3KavnjoR6pWZKMU148+7q2tllon/8FbWSkOvq07rNORo0gI7F7sl8Kd
-14pJ3nSNuCYhfQptm/ULYJmU4RuuoyHLD+jvM1QlhHF64AWMryouwuDpj3PzRXTe
-3yIK03yMMb1WVQAWlGZjHUQ/jVdF1Rrr9jO2Vh6IuPcZRiXxQ+buX+PAl/q6+TZR
-ioQaIvqeX1mZwCfdCm/aD8su8x2EEw9n5yZh3Shl2XtXOmay2Aq4ZFddNELYCD70
-C+e0Y55ZIC4LTGrX/q0wie99EkRCR9JV/tqrmY97nCi6R0Aqk18lgXdTCFOde6N3
-tPZK+6GzbZ/cAbqpbdwFxA3Psc4zIJh+6h4mzENAcK4xWSwgkd5DRn1MeHQOQ0IQ
-lT6eb2qna6jzPr1XFIzQiKrhg0z/ceDLm2njA3UImCaTiKXxPbtvnjKaweOS0UL3
-dTm/zy8CZo0OVrbTASx8tnEwmVqz3D7MvyXSyt3r8JVkgf80/L2HWw6oKx683kLZ
-VSZAzXgj2RP+juOYhGD6DpQ9F7+xzleqplGunTHs97NMBuBgFKrVarDuyBVAFMAn
-5ObYLL0X0QGwSQLZuUiGWnFZLeD93AzvWiQ9G8MQ99sghDIudxfb4XGpTkiVNhQh
-7uudajnLlNJotVRyT/LQD1VqBKSw/VpzDBgMhN5TOKEYtcDPLIdySH2QF2crhe11
-2pRr9RgV4TWJRz6I2S66QZ2l5SOi+MN0k5Dh6ogZt2Hg1IxnTAoyIlyfVU1ne7/N
-pwuXn/+0tPJ8b0C0yT42WMk6C3ILZrhFtTVd0JOEIMkzOAqH/Ma8Y79GrNmynplJ
-koFvis17hPBjnB5XKQtiJBTfMQlgDWB+72zzEW2mM/bV33Wgeahp2aesnSZZ5A7c
-7WG2Cx6ZjQyx9lCIQaCKvfLKsWBlq5f0c4xtLBmxF4B25w8u2LLVhGc5Ol3WRkHt
-HmqoydLB9VzlueWzpka0+6/0eYJ9TQLPnNl9hS38fyRhtrcT1iqP6leCsvLzMG9t
-kConNkv3kqE8nBNjSZ5TsHmf3ziCKyaDquiBXHH40UT5WbTUhLlErok80UT8b9lm
-Axf93CbDLCRziIEWYYTTdcnJkJRTlhCAF5cyQcH4NJhavIZLkiwUjY7nd1h9CiWE
-7fAF18oKmnAio0uDqOypy06SRRhZomVqppy7syPZktJL76UklU5sPF3KSI52T5Wu
-+s/CKgNqSBPEsZtNFEys2jLa5zwJ3l1wGRt4CsUfGh7kSLaRKuwR8zL2bJ2/pun/
-SwVVeG98sA6UrGj3cYKM0q1LEzBKLNVAjOm/bmGv4bcQl8LLM5emmtQitXrlW9c3
-D51jzQ5yWB6B8gSjxcwhzhHtRPx8iOWXgUoYIFVhQaL0kCjmIWOvcg6PHqyxFROX
-j1FnONPx2o4ohiMv43tFPx/KEs/NWbyZLQ1S49Lzl6BSzIaRQ41IzF3Q/WhCKUTU
-JNG6o0E8Fdsjd8zZa7KB0m1N58FmNWdd1ObXIP9a0zE9/G8RSiN1YsA6zIi/8hun
-fFXZ/z1TgEZHD+deeV3q5ufsMXCn750Y88mzdtioguICt9yYn3Gp0cbpY5ZcsymE
-TExjbGDOzaMVS1vv9cnqg5jBrfqLD2ecyT3lamw3GYPrSERP+hQxSVgCKuu+/NZg
-n5HZ4DzXP2jixaljruOndq8ggIw3eCdGB0Cut/zHekZAlV9MvsxCr5VQJqZjMJJI
-cDJUcOqE8ZQzYkvZWVRyAy8xjZFrT6LziasSe5wVv7BBq2FXC3CUkQ0mYEkTP1x0
-42HRHOmYs0vRE8IzI4knm12k2qBG7nm0P0JRCUFTo2c0URGMDnpTSHlsFS/Im9cm
-rwfy7SqVoMarQK+W8nA1uv8KcUkhnYydNn+5aNNjNZyR5376JIpjAwq60Ty6ijIX
-OF1XPfxBskMhx1YXejAG4kDLLpGjH7NLPCYMiLieEtuM4asIqDLU68lOjtXp0VGn
-GOgCvZpXAIFaiZ9mQBhlapgBAetOuwkY+evrlCRWD5yz4zCT5BE/nMGYYGwfXx7z
-3XYot8dKAqyh7riqBCAvPk4ed/IIWsjbCMZ+VDNJ7jXDcJ6KwgBTDbG1ANKUI6FO
-qPWePSJjjXqmn8ar22NHFDFtjbjRBo+1rtWXHMJ+NEAsXGzfmsU7RixxB7vrYAJ4
-OrM0Ax+4IRlMupWnCHYlIcrPGK1CjVntPJtVYgg56WGjXvPk5oDj1fNHtX9PisEY
-N3hQ6BL4yOIT9DbvlvgWp0AgaSQyEoYT1+/iV6AKCLX8szMdW2yS1Al5WKeqTiD4
-0ejNNq9AHFxUiBlgVQjtww2Y3ytVW0npmdyFkaZ6geImp0kKG4SIiO0TLn8VuV2m
-eNmO4PhDvEbuSyX5kJ2Rf2Dvm/h7rcaPBA+39eBRIGNzu5Vy96y0ZId6hDIEthgs
-CDB7JYsafcoswTeI6z2xAAYlA8xXLeUhXnuldVRmPLJm74mBGA/Rl1uH8K3Un8Hd
-jJLgu1TUZix3YNxcz62XErLvmqBO24141WlYuly9vDXRtD86S96SnLumhleinOAr
-nzX2nupYFvTNrK0VanLtmAODvJ2wi/B08cIE54Rm4JHcW2s2B8BsmlMMoh7ti+vh
-JY3HUgDWpgAjXnUXGiF2gScRmPhJIn/1ZhJmQWQovvbLDgbw09hYhNq/ly/SrY+r
-jc2RiYNs0c5RXk/GOaP8CiaxjNz2f7B2xBs85gslJcUFxism2alewfWqhu+ha9C9
-czIGELfQKUaCc2bjctM6ei5lYCJ4AeVNBYXAY0ReYXwD9zZfRenpcQSgreaVxdbX
-mM7Il9rKKjvgqIekqSeXNd6diIYG4G3YaeRqtzvpsUEAC7EcJJIqg/jYjmpDtI2G
-jd5pF6U0wc9k0KG7WIQJqpbWwJzC+AGVx/SgarG5wTINsQhNpkRkE2KZr17yMZTX
-WFCSaxbod7kpsMrEyupFZ/U9hEiGGVImbrTdCfkn0VamTA56wipPSvwuWc2YHytv
-Oay7M0rx8AmmAAJIwsx1tdmJzCWHDM68bWSGCYAqel2XfLQUcSDYjpUAOkUZGxzB
-fpO813HY68hZhM/JvuaL3y4ZU+6vqfJENMXJbytseYmFujOyTA+TE1D0NDuNN38g
-yH1lBv0P4VSh+flfo/kkjLy0k79QS+hmmtuqsgQDwaGJd0ByJIkc85y5h4G/tC6j
-ZNuGCeWyZ5MI/oYc5MAgs4QmUAwrkLBVhB5YH9uF/pvG8bqfA+rJ/d5CBNn7lAZf
-OZUDEpTR3gzNm1kYQOEuzlApD4odhxBft3A8NRrrcz/uod1D7ozfhZCnMKRW0IcH
-erX2mHx+PcV1ohW9mMYlQ1WUBolPn9DU7+nFXn4qofu8MsstPC2iM1+BK/4vND/C
-rX4SUFnlTDsZm2XEcSrIp1SCgiqmLnSvC9U679nPeTYzTNRt5o+BAIIPeO5VN8yE
-NhdVvewaNIe7V+u8rmu/X6KJxXC03rkhoY4yemi3NXbiouOATe+EQKmZSeTnFgR9
-WqSvu/5kgUHaVAi0tkyjmi3kSuzl/iu+FuKEgOn69X4bW64NqoeGWMdoCqIgfko6
-+SVTJctGfbpPMVQH0VkCxStzHkAWq1FQ8tk7WIxHMX5VQ+wIWnhO3YxSdRrFU0vq
-KrcPSDY4IJfKHuEm7JxW9FwK5UwP4Oe7sDBN60Awaaq2OElgzu0DbHUOmJ6jbEj+
-LGaWLZt3Tue9unndivUeipBLL+5n77fDVaOtRWZGa2+ruejywbOfATHZT0ayIMwt
-+DTRvtpBn25KCgg3WlIqqJ7zns5TTA2SHI63T0V+iLgF7Z4IShmDmfnJRoPnZ+Kt
-UtCOC7mgKdocCU6mykmxAgx0LcTzfVwgh0mPRf1kTl1cKeaJ29R3WfXxVTUZVieM
-BMZFU/4bgp3wPwVYP+4HBgEagLICU0+8844jWaUVk/Vq9K5l6HEu5L2RSep7uyto
-ho/vPfAydapaFlVC6zCL/Oj3HMuqv8HnpssDGIeA3SbYUMq54V3AyLitjHMniC0P
-ZPJBFDTIxFekaSH2YR11oYr9rHwn61RtkOch9cBFmyhi9z9We3/PTxjfErey1C6i
-Iiw4c5PmjGMG5wPlHiMpBZCdGEA6Wrm4kyy6O5qQ3f8ax7hQCzhu1Lg3L8VXUnNw
-aRjCSHtmOUOcq1isQZpxcTprhqL2Kmc5oURqYoSznn/UONopVMpy9HZQNfI2VrAr
-BMusB3eAIO8TM2v3gXbFBpOh5O8NDoLSJKsazFjSFuVOD78QznQ0F8jl8iQQXmQF
-3c9qBjZSiwYen68LKhd2rlbWsWg8jut511zDtQB6sRmdUy7CxWqIa8NoUkDH8HM9
-q6pW9WzK9ubIgw9T3RT5fd4Z0ZlE0W7aPJMPe8hF0Y0rkxwTpqhUS2PcDTIgGFnb
-HFJ4d9IasLg+EMHK/XW0kS4CrYZc+ynqjbXMGBdd5HFKNhGUyXY0GpW6xaNbB9Mf
-JnHXsEun2DfN9dfFNa1JlwmdybDh6PWzmqt28u2o0MGbFblw4Bq2JFvqsbtSmBiL
-AVibd/Gb6S9EQjFj63CfGnYi74+mwxTTn77n0+nAAuoJG1HU7HBcFYTZCA2lOuCV
-lbMNVMgtuL66ZVZOg7SqxbJb9MOSDXjWzcPaziqk66Fd4ERbWF95GapGdRRJ/nTa
-rZ2BlLg6OSTI6a7iidi3SZdGAh6+e4Y1FH/DONLZ4I3KsHCrNNvwDURc81mIHLi5
-QcP+41kCVVmpqqr8Y0FWl2DvtO+eUI6mm+zNTM0rR0Z4b3/gOHQD6QaeSGJ42RKi
-xpQwVtSm6ilHGiGCexySCYdEbNF+OFDIWOxT9N1DfMfF8I8gbCcbb623CjQnPbu9
-Radp6PStNkWD6Eo4CVuprGhf708QauXtdAOvDfzFJh1dJP9ol8zFmUJYYRfy8R9y
-eq8vKRHlrFJUCNMTV09abU01UG3OeqKmSI2wVspVFDbzhDfuTlTLafKugJ+tnIK7
-hP4khlu4OHzspE7qNnJD1nV+e4Xf7y0z4zMtlAku+1HohZvktV/1MyJzfGd+9+VL
-vC0nLbO6PA6t9Hmc9sy0yNkqDHRT+yqvdtTFXK7hBL2paECiYWRnFqNr0iZPIvMz
-uDDGZYObUBkU0RaYWMaqJvoz+zOSPYhpSGEBsYPtTf1q6ldZ7Qw2k0yx611o5wKy
-p/Xbx6RVweCKOhT+gcPApGZUFsJ3Kwn+LN50ouNvExM8BUHBkNEEc5+onSxVOe4u
-7JbaS2Guve2+w7quSJuebtlX0NIq5Vq+j34IK+TKOp1x8YFyUemX0jFnVgm+BS8g
-BmYnRy23OJ30wkHQ+4JMtUBPGDDm16tDHXmTtMqJc4OLbWk3JvowWwAbgAbY34lM
-+btaT5o7eca3yucC54WjW+lXHQ7D2o5OW8V+JAn7deypRw/SkjXyQgYmG8kLSQ2c
-+TvC66UJrSA1jSXKW+oPSLiXa3/UtHe6L3yL8ePagDhWBQeqAmI17Lde1tACfnBu
-tJqLH6isR5il8GSsPFtwgd6uGEydG0Hob8aZ8oTD5qJ3jTT2s+JhPg9tplRG+guJ
-BcDGKrvYX/UwHU+6ymAPSS5xh9DqaxAtscZI8C2o8SWWgViLEkL7k0mSWDiu2vTd
-RF3E1zS+mfqqYj3U0piBfMjwaHDjAaf/olfwn43NsD/i0Hl1SbEXZYNkVTQh0nKt
-3lO97+WWKq+yMPyh44wBBtKp44iiNMHtsQUKZbXhTxA6oBDPdSOlGEN1PkA9oSFV
-bQkKYbjN0hG/hs6k8g9U2f7Ud5SioURO7FQk1TomvN8Llmx7/KAlgSLshMuvnsnI
-ddSAUoy5KCImlWEuQME3bJMFR/c5/vxU9kccvZSCddVZQyK0w6P2Jy1HnjbqcL80
-eHd3iofGUkH1Dfe8xc4Gopu3BKam8ZELf998odxVgeEaee1sbrqQYFNLO5iCPokE
-eHOy4D+TUnFDNZst1zrDkrRfo3ra41KGxoXqiRLukpXrYN+nSKHOXLoDmq02r4U9
-uIeh4fNM9SmrcAN+RIyzJQiSqS5P++RmSCC03IEPE7cpJx6/nTBFH0xpsu7IGbe7
-ozxDF3ijEALQ6E19rQ9zUVyPowspFyWU15rrMvWzUBQSQU3KBjs8rpKt6Rin9EsH
-5QVFnbp0YgpzYwxHUmOefYZRTyJ8MUochD2AVTe2NifFyxfPkYfdlGoBaLnl5hPt
-+zQrOfJED1ZJFV1OrJcpp/khMMy545NYpecWIiZ3quN+S2GjSruCBqkanoiTzEO7
-EjEHPPlWfhyBeBSSOiRkh4LdcLifH3gyjSYux7C7KYEEEzI9O58/GNHLbD8neWws
-fb/LQGoEttXmZ1DhOjjUmwrmV74RNDcf7lGzPuMBp2jOx9FiuTx+BJGScwO86v5j
-pmCNjWQdeWuZul3XBBrYPK2QdK68fxzQ1YHdi6gZjEvcgpwhxFQ3m3hpTJcaIfRt
-YEozE0voj6oQqFfpKwT0L0Kox61k+3cSjbnPPw77EdkE1J27wCgbzP8sjz0xh079
-e53eG+s2d6OLFaTk9PhX3BayFdcmFqVyuUANVmRjIQ1TKHmtUy5y42XuwJhfUrQD
-6XaywMHeocb6TaC+v2jBu2eVUv/Bn57cSJq79dEYX4w+6/OSEp+gG0bg6oEtF1WD
-FeIHaeseU4mpoTWR6wJ+anxnXryMJ2YOUbUjQ3i24pQDOw6h85ho9z76SQlYP8Mp
-vaY56YufswRTprRyo8OZanecXSJZyaZ+7JUuXuFl2aNM6a+GKJSP1TivGaHlXPU+
-SDwf2qUJUhbs0PbWpl5H/9xVygy/39gImnZcAd/peQPhFZusDEOxMIWwGV1Kz3vk
-aZVSPyoaxPnTfHIFV5kGLpGEOShoNQgyd63R4TUs/FM=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer.sv
deleted file mode 100644
index 571f3caa8edb88b7a037cb2860e6b53deb4ea53f..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer.sv
+++ /dev/null
@@ -1,546 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-cnu3XGE7aFLyYmm2GWgqXYawPt8bSWJcRazdiMM5japQeIXLWPfFwBUyhlbOJzJn
-BgKNAi9EwJCxNwR3NKfhfarIwNUOCNb2Ts5VIvKZNOcXnBuhKXS/oqejTRxWJUja
-LqFm1kTOwiMTBvnmoFHhhNhKPZsSECwCsBkdLrOK5dY=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 25616)
-oDtKGyjW1UZHDpX5PQEytD/l6vjyYxYoKt5fHgJoTcAH9N7A8jN/nNkHBctL7K1L
-iJHbk2D5Bn7ewWf/nNDH8i0CeHtG9JRJ7QVunmP4cWuby4WBX0IfLUMGGnac+1Gl
-yWiQyX08xUljJ3/b6dfutcv3jdoeZ1GgrA/aCiBkNYnxJEU6mdLzmm3XT5mvpDlC
-CAvpoNxnHK2tFCbRiK1ZjxkTtgHNAG6++AWQYwE1yLOA/OMQlS8pGCQpIvIIZJQP
-MuSh2p1BDF+CjNzCoa86diY+vwFTYL7qcAa5jTjc99McPyJ+2Ka6M5imyMcmghxq
-masNz6EaqQJPXgBRR+O3ObGpgMLWIoSzINdGrxtp+VHdwD6AUjRaS4nhWz7sfIRx
-dfZ1ZmKcTfkGvB/VfGsWcCdAECvlbJCCGlHuysmR+kzd+7+/p0pShAN9pyrgyFyc
-3+ituNNB7AsWdXm+AqNxoEYRKY4Dqq44UM9X8z5Y6Uz6MFMCxuy3/5RUXPCGxyS6
-nWXLeWz53oUNKgdNuWdupneNaFD/kzU7jSep7wK+NufATROydBS1zCjrox0Dcya6
-vwJ0gpD6fA4dHD8Hwa3STKu5KlwTBrcx/TCa/qzOVDvpWH3v1iUxHtyB/2tdfwxj
-4QGXfN67rO1OHWVCbL/IFfhHp2zaq/QUR0Ga9VyluNhdLT4CqdOD/mZh4ZdsRDh2
-uWdCDqPWOIhnFexGjryJEFSxcZ1L/8pPO1QZO/7UmzfMqTvKQJvU1WKDgX18yTuV
-H72NzRZ9HA3BLCHoYRhjrxA7lMXv7pBfHSDWdOWQquf6Rohfm54ZhvvdjoIGq2Rq
-rrtmtsFatS6Loh/mkrvvSBSvrujeOEw1S5TrG7dYo9JKlLARbQhhy8tpjMdFGx/y
-/kVOCJJSm3VJiFIv7ozrRVKMxZNlOEWVSizhAMFsJng+RQPuxoRhsT1J4e3XKHAZ
-ab23mJ0B+AaUs1EjZ9AoWehp4vmbdT1J29sZZ7VASej2bZryv2TdXrzStDvFx9NF
-H6nkzmsvqr5bpfq4WrS8IzuOEadRbb+aGfFEdi7QVWpYCBRIvFe0uYOsmg920qda
-B5e042NGdwUCiTwUrHAvDmO3w1ElO8OW7R0gLECoMOnEpLmhgd5JGw/Te2L7cmrz
-apYofTR3DkI22jlo+8zFtOueosoLBSVxnihIx7NjO/g0mtsyCYfugEoVrl5a/evF
-cfO1fxQRvEJFo/6QYhrXxbvo7+i96o10oBUM9TSfSg8HDQYgqIyQAzykgD5YE0YH
-gFGmLr4yPjJGsWf7EUUCyx+1ZWH63f8Ony2bVw07EijzfCD5D8/F0J9LVP/nw12l
-82DD+5rUKNUf+j6hCLBBbnzuLiL/p7oB6gRrZS4MJPf2PeNGq3Mwk8OP9VhTvu7N
-pW7Ic8TbLtIm83JWiUexrQqsMXxCVHOEO7LXIftAS+Rqxw6TKjOjiiRDodd0DZG/
-gi0JQUzCISuVeOmp9UdIq7cgg9Dxog2RceaHDuA2jAAgu1n7NgbBIVpWaCQZGiYk
-GIyblMb006ea1HAdYeODSYRpUKHojpTaxOGCf3W/oQ8UrF4qoGtg88++xnhcRlnK
-zCye+gbU+o/IMH0VCawxLFu2znEGCYIcrJPYCgt2taWgpfqDfsT0gNDrtLv5685K
-J4XYEl9tku/2rmYz903uTkjHpM28Kz1tO6AhUBw5CRiTrFOIvy76v/0aEYxqK5Hl
-mNfKX4M/X1TqsziIelxcZqc9bHhs2us6saWZSV4Vc16SatV3EGf+7oPrru4QMGez
-g81SFMp4pNql+T72iI3K9EmmfSkmdp6CJnPbqSoR88sugiDt6gdH05kixaMygoDV
-vAlhgKhn23C62Isj8q0fQeTytwG+x0MKZD2doEVw1aRSIARLWHeB0qapO+bDhTge
-8L1DiKIN4ciSeVUxEITWJd+52mFyTBkhdvf9PM3U8S7oOchUfYTTWU/TokThLU8D
-60sH3BCae4qofIz3+xCnBD7k3XQXRrnZJZSCzL/k2fa/d+WN8eRibe+53x15HqqW
-K65JQ0yHjo75mXgZ+Rd2r+5NrPCQa71BQ8kOHePIXG0m3Rce4pzaH0o4yuLe/RF+
-6APTH93dsbjtx2ajBX0XM+soj1t3DhO0esT24+wr7Alr/mgjOdtIPovuVve2jOjf
-gQGf+Zag5PSEx+pTBIkVut1KFcu//FMW8heFHq6B3FVniP5jW7Mrolh7Ivi7ROEO
-X/ESfz0rm6D9DKasFE7Ap2vI9O2dPXpZ2eB1ror4dU7u3kzLMw4vCSF+PYX4AUWo
-uT/2Eanp+85gqhfXhXoGAOvYzFreKGCEfyLMXcUwU7SwIqCQLSyFDvQITlyNL0j7
-arCocaXg40rRuaaAeH6hFgyPWvJioFDxCqQtpWckiPLs8zdmGjPrvzwvFzmARe5K
-awBVujLATR6+xLVvrzhs5MjuAd6cJi3enYy2PsHUZ/wyfiBxkZa9jzR/IWAjknbh
-a+Jq/Zr4sPlyK5Sbv1wBy5AnlF/wVsUKpyhqhWcfVMjD1cYMz1DIpxKQLvDXdUPP
-hjHLNnhF4DdcIQYuxumFqUIdmFKdC2H7dn7XUEhp2oFNie2f3loAdY6//aIta51a
-QRjiQ7aOnbIfPRni76FPZnDA5sBbcFLcCQKlkBaMhtlqeqmrGuUPKBo45Cs1Ofbs
-pN2s5x0/EMT/jwT5T/iLis9qMB/D+Fg2P6FHDeGUwJDyAm/EThZpeLkaDO2U8ek6
-Ic2c9bdi+KdXI8Oqc8PMhXMxBD//4SH0+UDBVn1+qsM2E4ItNlPR8/luit9T1BJj
-evbNn8Qo3LcJKZ+4UkoU9rCnxgX/+BCV7VnC93CBbl7XxCVEr4Pod8TopLut/Mrb
-3Xp71l1ADPZAkUJgH8OGcbvHPf7HkocXcE7uBJBlQ+vwFONEFciF7R5bhbwcI38y
-2pMG+92G/aj6wtFwN+Ml9juSZlmyZI03g8ytSnKWT8n5Ij5p0l5132fv2JcKxspk
-W93wf/MSTiJ3rTIoep/rbpJV2jj4X2iEDjkev9WncYpMwC68PGhWrz/sUMZU3aoP
-qEUuf07crpSv7/plFcBQb2nIuA3M+cooyBuo4XDWQz5WtNeEam2n4Ga7lE+W1I8L
-/fIRxPHSQsJQsTIAVpLQzkKOL5omXWP+N2SAzdK4eA7XRSb1Cn+HbFGV+eUZCDd/
-4ux8N0KkJAISWLIVz8SFk1Srcn7yo6VrSCAZMH+HUyw9zYkAdHKKuVSA/VbdWlZ0
-wUOv7/U2s/MmJC7wdF/3cnTH0AD9aOW9+7OMit9Ino3Y+VE50y9ulIvD5e6/YVPV
-KWOJAuOjmx/sj8vLN2lRToc/L0EdPbvm8Hr6jOF2m8r0Em4TzyIuu7O/7RXhcYE5
-pTC/jc53yWPHolYo8dyE/cXlkMi84rcleipjCdkgC/NTEKseYBHOS3/5YKgaUTJG
-mZVT7+w7QDGq5hSfxvMfq+W02l2c/Po9Tpz05JRTFRCTvGU62prbTM2XBmO1jlb4
-/Dsdv6GXpPscW1VlLkii/TzBhxoh02e6bP4Chp9/W08Zi0T86rPgXCmKF4u052am
-OzEKULM73lSfH0CRjHXsJosn2z/WMh4+fHrlfm8CkpkftZYWNbX48I/NB0VFvi0G
-yiWroqt9zWNlEWg5NLqrzAAQEy2sXfttZ6BQYOhQF1a/X4tKec4FG9WrArT56eJP
-RQ3APeXwA0d048/QAMYzU1DuTil8bd4cBQWbuiTa+Qjw/oHBnzIKXgwG/n5f268n
-pmToHtDXHSotcaiCHxtiAVMxufPfnuAoLqjE/m5g5Kqkl+04OYvzVbvy2IFXnns6
-cBFPOxrU3Au0fG7P+ZulgSJRiTc4Hp+Kl34Sdpaao4iYWJmdyM6dw/pTNu6bKW7a
-eKqwMq5KFuKTF2sUCdt5PWy3zQ0KmSE7v+zJ0wvBboaOjriJv8MqvdMpDRrJpFSv
-/dUSxljHMH9fFD/jlDtqidl7qND9Gu9JqbTZCjiBqSJJc4C5tLAceikkwMSYq8RG
-r9LFUVYZaujfG2XwtgJfrON2xNjTEGP8rl3eyJutkuTy0+xQbyyBb96tsIM8vGKv
-m+byYQ6ep3Sf3gGCzg8eTlu/qUdhfpKW+RL52QInsWqnc1fsPTxNoDwGz7QEvLCf
-GxzdpGGklV6/51cNhV/Rc4aRc9myR/ngCzjjhVAio3oycuH4pYjRPkEsmHclNxWv
-Q9WmduuORAZ3RQoPY+q86ba6A1dmnVUpGiFMNF10vD8LHbx/VoJY7Jl+Ko3E6Z1r
-uwd6PPuOVXy/Wl8ROMET5o7NC57/v2G5hb0xYjmDFResc0Nf70i4aoMRb1ggwkL+
-5kJWs9RpPdc81VsuYybE/erE9Mmg+86T43pFehVF+W2Ckds12cHi5uleyH0k9nsU
-dPS8DJpF84VL13bRgrNtnhLM4BtPGpYoHVawPQyPMhn6tJpOA/q15J7Hi7+5yrFp
-K7ieWSSRP/VpGJ5VJlFsb/Ympxqlj1JaEM4ztakR9PW2ZAQ+fgZXZlF5ZgPN1wkZ
-1o8ZoEy9x19s5myfHEXKURkyY4mMtLBWYJaBUpSWqvmsouKiTgU4QsPUPEQ88TbQ
-VA+OLET4hpBNO9Ju1ELHdZW4eNBXRGOSSSn3NtS7EqSkCiPIfsBXaflKPXvSso0Y
-w3AAInKaUGS6iqaOnpI9HF6lDux1KKag3jMaHxa9fE0FYEpyIKMZLv/8e8IDk9VJ
-ZZnAz0MSUrSzccwlzq2uwBsrFlQ4qNgBTbg1np3A5uwxdULWhnAt/m4EtdeBmHti
-xq63HiQT0Zqo4FsikUaLCgu2xHkGlrBDdywLpDL3yOPev9rAFnchJmI52T4BZYC7
-mjPiz+iTeLLA98018sA6h1gzEc5E915kPunhBwhEt+WZ7zbpeAhevopqYckqZMJZ
-QrwgrDEIW4p5nwCddNAmWs12BzWpNNH5AffRmzm/ayQU+t52OQxeW0wOftmtrkvi
-9Ofc/77xzlO71HkigGzM3Ike4OO5NlsJE8+dbu9dfrnF5/KBNYfJzrI2DVe6lYKm
-tsEBfuS3M4EiXW7pNJ80C8yDErX/q/UzxXlIXC0eO3fgBn/HnUPaJMFuPluXJk6A
-o39o9tqchVm8UktOaHeHbNyJKJvZa3F7sCzJ/Gv0e4VquOjcC6Pmrx3ykNDtzX3M
-6lKlo63D0Uc9Cjycae7OE2L/iYcAJnWGlg2Hg6GoMIGjktIXsx8iy2gVSxGEeHP7
-GhFIyOjo/rFPSWBSwmCJA6+T8rMupPNaEDgOUE3oJkZPyBCJM8atOfYLBxcUu0f/
-R4cBA9T7SjhriBQpAhOQzdLp6B5UgeDI18kXtLLcqNWd+PabS1R+NekQukRApZXw
-deQ3t1OhOqXbxh249npzHznK+Vt/XaTJZgPLv6xsmvkS5EHJ8kz8ewAziHY3Kgu2
-0+oWMMctjV16vIemDPkWMZofPN2GiMrRONtl9KPiwZgsEeMQyZUf4yC3HO6l2U59
-XKJddDGjV43K7zUABkdGLbetGpgjxlGrzAMNYyWpIlUoFWtpmJS0zEdIbY0OP11O
-FeWeVPGAYypMqKR8ERgsaRlQKTL/HB0cPouJAUTioil089+1TyDh+oeKWHLrBG/g
-aVD5AGv3YCSBJh1sx67Uome0mxVcjMoL/1TTxrDD+DE8yEzRN/0CLXcaAf40LlPq
-oGtWhAViC3Eo66ZnwoDaptvVMioMMjZv22sdvlr7yuUZjKrH2nWw+TD8ehk8OMqe
-D0J6n6Xd1EKAYDv9sLvKd0gDqGWo2nR3brDjJYkFlVwVAa+V6rVbytyodV2Jq7fG
-v5Uv6YmzwJLN0VBYDRvhmD2dseGpZUG2yfG51L81Cm374kHn1wzI+mkj59aLmSbo
-xIOm6kzXYw3KguZUqNYv5Qn0xz3zw7gEiEWK+mulo9/+1bJDEt3CTXy4msmG2INC
-IjQnvmkLysyu8GeJKyrlk8XBp9Yo+zoSKOJYHaupRJ2MFrn6nfJM+lLXNYoscYeJ
-uP6acsUb4RYgN0RSyJAmQQ7UUXZA3nOuTU/30eyNesHxJcg5jj6xVb3cXf/Afgwz
-U3gqXFTOWnnPenLiZR52Ur/YjtIjO/TvPD7Vqi12vDDOkorBeTKCA1m5rPukzsry
-a2e69qhDQ9gC7KWk2B2sJhUjimYBd1vxfyVWZeDRdZTgCBNpdj5VxvwwQUkNs865
-nS/98JKifLDw9MYpi8/vjI4apPyR4mKWPcJXIHwszl7MJRYJLacMJ1E3pXI/NcvA
-icmDhj24X501tOY59S0E5vxO+014M+HBFHFsSR5zOMgbHi7w2zf6VbqFl+MufZvK
-/8eMmxlxERA8LA56VkMFaYYTArK6QIbKevSBwHquk1FcXW94/RNNw1jewAaZILSV
-3cFdrAkqw2dX/dYRJcg1cyuIFJSon5msCxlDVY3t4NgX8x1gcVNAPXHbf8YUYe6z
-a6t4GZt9oP0E6QFLd6aPJ14FEqXvnTANBkFQkBa2F5bWJ364mLKZlTBmddV9s4/D
-WbhtaVGVFBaBga0Yls1s+fR1aMpCBxFttHPo0MMskVWf1dgYECYyKUr2qQLu4I6B
-5GevkiOsMVainbQfq+Zg3U81aQuSMRZ4akDWZa7jPx3PB4lobx4eTaeV4Qa+2AaV
-TPVRX1TQqGW5qHODOOvtVNJLKhtxtmsmcWnxLbMoTMIIkuImTaCNC96x8LpW1iuS
-ly4bnBSf1m9iVT+T5fkfoga3M5YbCpFPwMQ0qJ3eRdeEfmCiNmIy39eZZCWhWTCT
-5j9qpQH0WbeHhwCRkfDLE232LHgLuMhDuvpa4ip5DzN+5V3tRuzTVFTVZndn3ZSl
-dI9laiSvUZBfXRTSAv8UhDgOdNKMU4+9Iolpq3CZdcXJ6xbC9NT4Xbr4OBegteRO
-EEw/+mVp6q81ngsUq6GVqNCNBV8+iSQm1PPRYBx4a2azKwrSVpPTgKsFUHQOxV6C
-bySMHis7z3z9uUEz+HX6dwLfgtI5Lo+i4QWGABHO0ivOLJv2WOfwfxczWPRx9MUy
-Xl7boJ+z8llLN38ZvbO8BC0eOGu+ankXFdEwR6oOxNdVtQxdaK3+NyRr/pM7p2//
-V10Nm6OIHprrIqq3gMhtzBwEq2ix9PVbxPAyFpfgmHQn194VOBuXroVnmGoUwhZU
-8LzYJ59Xxf0hh8KZ1hM89M13Lo5Ngre++2vuwjRE95OAtBdBmq8H4wBrYVZqtZvF
-pxenyk+ihy5EOF4sprdnLSj6NCdgMCPC4gEEk6CdDYyI+tGzKuBd+5QB3ilQocC6
-y+4bs5V61mJBTFjvtdW3dbnCTcTlmvjj/GGLpjl5F/pzrnnpYZ3nXdS2PX4ZXACp
-b9yrilbOFryZ5pfTwkvL58pCPt+sFtlRu3A84iXuvJjnNzDY6RLcNEW79hRkDkwe
-fF6ZyesVc8VILtk+BqxvXwbPUhiEH0Oyji48dyJx4LamXcO9telZc2iANJiP6Ago
-bby2/AHvzuBzCIsooQ84pT53ZZdcUbjTfqH2u2ZJqyaSEtkLnwtdZKbYuplh2R8T
-/orCLBh24C/CuEzYXhz0ZycqBbBSXbGKRjxcQTbI21JsPDUmMIUc8prjCVxZuZRs
-Ty1PPz+GmDu/t1FQeyokcxPy95qIuXfymfjGsT4oXvNl/WsC3/MNR4mfmqZaEUnn
-4suypdDDG3TqFA1Kp1lVoIFI4QSLkOq2YQM/vNCdBC+8DYkDW0bvSXD3V5Dl6LCF
-i1DbMoue6DoirT9jhUC5qweKIYY1B2kAFaFSvemNg/UIf3WnTO3ZcdZWnjZoa83S
-6D39QLdLu1/uucIyvOb3H7uQehiTzREAk5M9Ic51r60hEWt/Pdragn/otrSoqy/v
-Fn9MG8pnEO0fOnQlNRGo98Lm9YUVXnwsbwiZ66JY/RJ1IEY6MeYzX1DbWn2WvAV0
-3daawEHWqv05zz+q6dM4SYDCmFyYcUnAUvD3mFpLpk0eFbrd2KPIYedxKD18rWyB
-/pDq+nrYDYCGU1XLg4mHcQFsFCcsUJ58ZO4yPIkCsRj0x6xN0/coOW2kY2diAHXW
-kCoql1Ao1QvEcC7ZzDOciL4O8mSXqRBPbtqExkl98Tfc3qm6mafN9EZ2nONKPpH/
-q8EF2/1+SXFaHgBMziOjwZDBXaIu5+oG9kVs42g6s04zTJz2vngmuiOR2AHpj0ek
-fgK3MHR29EYncOPXza9i/b+bFJO1Cbxrtvxs6PYwb3wXCRiMfzi31MojhibIPDlF
-jqi9msQGYofF3OFz1q5sl/VgM4TbtJseVPALYkRDyqwJw/nQVZO44cGHxSNG2rf9
-11LFyuOr3bdBNwSMdNKKbKfFPIvbW1+sU+VUsws7NzRk68aRn35SsM5Iba+hN5wq
-a1daeN4YNak2eXHNGY8LPdkDe1BnZMBX+AT076XsthwQZ17augleN97dmAC0ufOh
-gY8XSEgK1hlokiqUy7JB0VrnzX/ZrUjF6fn5mQ8kLgRp9Md5FCtNTfAyhA/hoEe4
-v3Ngt5P3BFz+8k83m+5SHU6yd3TQgkElYYimVh9QSXK5jaCEpA9lULHBTY10V+DD
-PjkbK5ZVgCDvKCoITitfJ7NOlEseDY/7Ys7BZV9GzeZN+fwiHOdTDWccCof3FqHp
-/El3B9taDhGpZhen7Q8wXMra5RIA+Ol1PkxQpv2lpsjt+D80SKnMu4eAgM7Mp7d/
-CSclyWWwjxiBoaFJbjuWXJv94d1yj8EDUXBqEK69uz22KSdNOhpnNqkgN+w6AK/z
-6sAkXkRkN5M3z4dYWTmzu7YR8K3iIIVhl+WIfl7YWQwFoN7YNG3HWD6YKr2r3O6F
-KllJe93sNF+Mcx3rRnxhJcuXc44UXK9uqYiQCRm75vQpZclDwGnDAvCVCA57k1Zk
-rXalDxTbeXFfTzUPRCxtSA1yZFkpd7yujZPWfzcieeAf1L2dIntfqk3Gqbu5X1Eq
-frcMv5gX1HWiq3XIfXC4tF4XGOTYcrG2XF+14lteV0cXMHGTF/8lei9KTuFofs9Q
-QBjqSSHW01lS/tVWeiCn+XLUXceYDpazeHheYqnjWDN4rgjLkCaCzPkpsvJBMjnT
-yo3p141R7F65SrIidpGxjvs+CMjca3CETMD5lvn6LfLgs9a+XZ5YCyDMDUQ36ahH
-YapKFhBba05WcW0pmk8OlnKbqqfYqIGyKZwGOZbROg60+dRzRIeI8hRbijujH+nD
-GjL7aVS2DVt1zIQ/Spi0a0P7418LHpX1nwnIrIC+CcR9VypThukHJQE/ltu4wl0y
-xP7Ap8bp9XL/+/Ak8nqTXfJXa1Exe/jGrxwOvbpZmxAjvEkZMV4lpsey0my/Wgqp
-M7tn/UX40UkixVew5kOhDEh3tJoh4Nopq3ALNdAaeVM6O8aaQhjmoSjW8nAH2aAP
-NaJkQQbKdjX4XBqpHb2m9KJpYgZ0Gxzi3h+5LkxEhNTC1qXdrtYATC9zX4Mhlk/5
-bxW5NBI/zNrs1nXQHfrCV42XtcqsBF3/eVnk9S56H4jvgXmh349EbMCgMcA17n/O
-L6UQa6btpDqce6+zWfvuMD8auiJF0ABexbbXYX0ESm99Gy39pOfKjOp8auiKh0xL
-nUHSpsx20Ob/r2q5dHJB2Yumxful+7qC4a2jjESF0jEY26cttsrIeylLaZK0ouS/
-ArbnofPP21JHDqGNQzzAX0KSc0sEFgTOUQqClvd4UBxrkRyQ6m6bsSAn6NQFhiSL
-6iCiRNso23gCvLAAc9/f9NY9KZXpsmyvWRebd0iX+DMQfSkS+sJO8FxrltyPIkKG
-SJfTcIe56Cr4qBYAcA+aprE9jSybBB1OSWRyfXxRqN09oyCcuoxo/7rR5yY9KOOB
-mpNu/cDoY1O2VEs/0Li9ZSTVFGpDCOXj4/UdJQSt/TNTMmrKw8A1XbZhrNFtgNMI
-MCp4v4yXFZgmvZCQW6CbvoXXVbglhD++5AJW4SDn8/4V4ns/vM1yLvsSCurg/qfL
-Cn7spSoYYyZ167TcHtM53jE+XxMKqPxH9CKRQEj4bRfEVSR8cwK5yB2FGnqRtR60
-ccJh+euUuk7BbYOXHQtOSRi76voFhPdyNbNoVMzPn01mN46F6S1rqtakIJuuj+0d
-1iulDhR6XouFOmFxiKHpRPros6ukOO7U6P0Pv5n6k36rb8VKsSzbfuIvOc/x3UxV
-mB8CmTctyYQxbybYKk/TkJaq5Lvsh0qZq5PdGsokBpzSDbnlpVrzxlNPOTYz053s
-hQVftJw8+pgpnrnFa05dyPdKSr4vqJKnX3D9afl2h5a3h9EDMLJtNJfTPC7ULgkO
-00qlSvyF1mEjHknuZrlBiPIzcIr1/M3aiIiP1fUypu9HJwi0QMaNKBCb7Zgr7oZy
-Qvop/DS3ymRMjAz95f4TTq2rWbiQNZl0WqWzCXjDnAq6LQdeDBir2VPQimvG2NfN
-Yo7juXJUTUkEjaL0OFwPkquxVR+EQ/Bpaza7V70iNQPNM0YfjqRciAiNxvmLsVNM
-t+5kX2vw65XS1hK+qDTq0fg94BxL7xYUYM9tDvF4wPbzcLMUByjr9eksnSObSiwi
-FQut+AIbWa9Sb4a0azpg1LRRsnQo3TEm3H2V9RIVeWkbcqB4m7KXV5gvykAZIoWb
-kgv+e6m2dgPzQm9heLJmEt6x8CnTAvCToEiRqXXQ/EMjrBbr6MeIM1Ke1+2ygMlj
-NYE55i4BmznNocyQ9vfy9lqnS1cjwNEEMKQWl0L2qw/ZIlRbitTmEVB23nxSdbLm
-sI/7XXgLgLa+c25TF5yg8fvuXlVXNp0eeEHc4Itnpr/gHkO0zRoiBeIbg5ShML0x
-LaCLwLHO69rDVVZBPwZ/BMeHRg7tFC4uctOETuZb0gmULeu3ZyAalMyBzsjKbt42
-5KrOGd72V54xJjmCa9yuJEupcHHQ0OWZARd5Pyn9+D0NJ+FfHa4JwcWlweTxQTIS
-QomGmiig5RGqiGfLt+71UkYqUkeEq3cQsgO+Q6BrkCFjdO4vGznRwJjHzzql8QL7
-2Adfr5XAdDWNy1d1VSftrqF+hXQB+D7kv9QlMNij60q/Irpf5ruK0W2PBg8Lnt8H
-U1ELiVf17MMaxp44Ym6VBTgT381g0T0Ks9r5ooxYs70Rwpb0BD/jzOMeNr8SzKVk
-bTcUZP5OEDq1lT9fbMLJrjgNQjd1kZdzan6m1at7YtVtgG1W1WuQtILZrfUH0lNR
-RDtonir4617SMowr/vh9qDTgegWeVDBdKTihetdYrWeOnz7y69AE7X9Uw/ynAHiN
-YQ4Kb+E6bQNKID6I2FLZEwMR6/ZdMj+XSGkSlYYobF5zkb/mwbcFiONvUiK9OILh
-2Mh9O34ciBTiC3j5taEBZdddMErU9wfZYfmVbmZ2NBr5r5zwcRNabIGXLWy8UAEX
-sutRvKAoRqZxxrAoK9GXRjqW+HDlpay2WFg8F4Wio4rN6fB9oGOAEHAfqpY4N4Hj
-BiYWWqzDpK8MdLCNlmX7z/fw0z/PF8o8nhmx5ErMaVtI3XtCLyTo7m1eg8cI2pZy
-96+SqE4z68aUUT35vxlkyJs/4BXaK2pS6ptwq+gqdQY1TJtYzHKV1YLS6xy7pY2g
-Xhh6CXSKqKklmg75EWQnWMbrBoUPQxl+Nv/LIMi4RCYl5hZtiTDFix0NCu9ssFia
-ZkXzpSSxzLJVoj+Cx/amEebqWZzwDhfaYTjovb7aqivDvJDL1o5KMWdqP/g/Jmut
-bK+AhDk3NhYB51bB7j0jGZot9AokV98taRIrC5LeEtxXFREp+kj3Hfxtv+w2DeWV
-nFmcQCsh9FojaXf/Zws5Uu/2p+/k/gkHoBy9HfsLj2J2EDpXSQLuJrkuBGObv3oM
-KR8U7KgokGIp+rdqs62sIJSEwJCuS8lDtKAt6NkVBbakk+0Ox1bVOMC+sKWvzp3/
-nx24W6yLZ4I6/T6/vloNUS3RYt7iZ8pxPlEgdHZQ66pwVc/ERNfKwE+Pk8180dmg
-FkTtz0cbNCz0QlQ1bFx/S6Ken1GvkDqcdfRyRAS1PCqDW7kn+yw3rLE8vvOFUrJh
-zYXOVHhOvMEydx7gINk/lZFBH5QdfqjO2/29xzNAWjXWVyTkO/3SnoutVltWIYGC
-4g3eHeZGFu2j04NptZcA8PDAAFkFMADkbF02vt5z2+QjADXAemgoefc75ussakIG
-h3k4T3eRnIGxgmxvN0suhJJfQvNHKN0wIg8krbJkukMa5Su1R4ZIU7GWDO5TvmVz
-6or9Va3rNIB6nNOHRMRmHTWIgFD6YVPbGuIGGf7itngbaJzJZgVDgpJ2CZgz8B+s
-n5e73S7Sw/XQWiuTgCJbIaUHIWwx3M9wVum0lw1j5BfAs3DTslmnlvsEmfZl0ibW
-gmxPy/CQDalc9hEHHyJptmlLungQ1Sa+npfDYQORLYHXyUnMWwlNN+Emtg+M0kYG
-cud+cZn0Z/yi0vn+vHdSKNepH4wGu4houeUcvOPTaXVYZ2TlQB4Op/hVacCBXWVZ
-7JMCvy1IK2aiOrmJ1DZ73grApje+suPefrzLSbdIQGTrxAmWIazArRPE730DXb3P
-jgsibLZVE7VJ0nfY0OXPidt8LInAGLD5sqA7T/NgxU8UwerTes0fuU/GDf0Esp0p
-EpTWiJdJSoofJVuKHoskQ8mA6btTnHqg4XiknW8FKbh4nagR5FJsGJMDcifxN/QR
-tWCgjdWQvp9RjnJnQaH6lXi8QIK78ePmA3a9xbckj00KAPCYEpC24AR5j1WKneft
-xQXyl7NjU8MYB3cLt4ZJmg6BNsbSrXm9QlSWyQFi17r17BMHn6v8Us0cKnVwNcZs
-EalW4iXJ9DWAF6FrctvdPxwjNOikh2xp9UWFgVnaxQ4QLYx4Yw7wsRiFiEgHwkhi
-Po+1UWq6x+k2ImoeY/Zst53YlOrsJB6wxvhhXExpn2jdL8/iNU2wSQn82A6TvnNV
-vg78yn+FV2mZme5M8wY/GECXp302GRaNuNXN27xasdwAS1xCKH96N7+y2JcIjcyv
-6cJxDjYd7R7auviRFLpTWVxQWzRQqfGIG4yLp9SbXc+wn6kMt3nOiw+vM2Flr340
-qpFIOlVlQCESIcMuiSRPSMTYudYTqkhMkGxmr5Cz/U+qxNMy89aEUlwqd8y5W7rV
-e2fYVMeIIJlNSyM7YrQ3fmrMvqxgzIpUAy7oHXm6L4J7kQMdheSX0DadjIT2L6wo
-WLBvrs+YnfGE6b4EdQ3irMyhrH9C7qbYEZXAqqNcJyt+ozJVmN+UmFc7e2R/iVyO
-k9jjfAq8svzYafFAp+KphjfF4w7AtgR19PiPbD+FFQ5OwZh2AJ6Xvj6E00+ZOSXG
-hYPMGQq1FOHYVqP6vEJ4CDyV59gyniCn0ugW0jjHXWlopaZ7mnFUHRdSbJ9K2gd1
-yTMGldzFXICPZXk+8zujv7B59iybikNQTa4W1RbCGixLv94iWVEWkUjZEF6OmnTt
-VCaCr7JLAA53LS6d3nAwyKNa9Tp5/EvTIVqKrJLCAGPokO+VcrttKxj5tqYW6UdQ
-02e3ON3XHJdGGSWTr0OhSP8pLp9zVXwMj0Arcp3i7kmPoKqRM+X2PBu43E4pCFQo
-GGoaESKKRgGjFKwyGpIp1VkXHwy6uH+ICKZyhfAo58WRIxwHrfTcNDP1fTg3qV6Z
-B2DCOPDPQtxtw8wXr5i5w0Qc/bA1oYi6mWTZlNSylAg1EmCEiwK9GJpqknZQcMpr
-KpZKFmMb7oehmegx1gZd1FvAjqPUruVytBIjHFv65HhMMcwl+zFe1zaLIBWhL4B4
-8mjQS9X2zhI8IuPEfCq1PxFvc8IutN5Z49NGWYs396HaEfG4Fdkf1K8kAj1mzlVd
-XmrueJK87RApNnk7FpYHzaNW7VHk1IggciqAhaGb4LKgrl/yKYaLsEgB+Nm5dHlZ
-ThwDZYXf6Y90vi5JuLy2Z5EQoL7+Q0VIWrCXwBUCxsBXxcCjXW7TXcss+qbb7e7o
-HmvJ1zEgOhlv6Gz0MD4rvCosRordrpSxsEP2EcVktRi5TaxNOvKh2UszcTjPicqE
-gjEyMVY6/c3EMB0mBS8KmlpSXTjKIYIBoCdeb+zNU58NMf4YUS01oLkiB7oaONRy
-6lAwSDQNa+yaCkDvbSUs35J+W6MbaCTqyClOoiNGBp2+iiAHOKu+EOoFFY+0S7FB
-cQl2oZv59hqn5hMksrApbJ3jcKobqfIyZ1IKOB2eXjeJ1E1SeL2u7RISjproBEJE
-tiXLK5sMTvTKNnRkPycWZvEa919FaMYFQL1UOgdU9hNSS7Vp+Int3eJF+vy1/st6
-RHK30m+vAmS0OgV2j3+alEGBaLAJBwJ+wUZ//xd8SeZtZpAp//QHbBeioGeO2BwX
-C3P6nXZXv8/sgeEqLBS22ilEWStX0h7LiTNeqSmkKN3gNymsKLnpj7kIHWOJLRIq
-7e6Yv4/v1j9aepCBTYZeHdkVaLVYofhIq2nsHJ7lAcHnFz2PfMHGQ01r/c8Xp1c5
-eSoEo/dQ8KhblpFmZILSkzA6TR4xpLA+QIqSTyh0JbpT4FWcS45BFYylvEPulsOA
-s/azg4z2VQSbb90RJ7q7CGkOY+VakJFFGZQuQrBaEmPpbCMB+5n7z13HjeBrZXFw
-CbhU8pbCqYrixmqQj+shfqtHh+RfCwj8DBCV+7xJdOJH5i0Fn10jn69dS68KlLAx
-PBEq6t9wSrbrTT1oIeqnQk86ckPVhG5v3XzXTjSdoMinYliT31lewSrk4WaRZyNv
-mCV0sPJhPiCnfEsPTtgXfxPdXaFC6aZqx0gyKeKQsaOLTwQni62lk5ibjlHEeJ1K
-ye3oRXKfHTE5iYTcjIJLYmCijJ6ut2ox/lxgrwed6Qm+3WvjFXvQVUaq3zWu+30p
-qrNrh6KWDK5byiJvbtMw2YKkg2kAhW1sU14/V1jhhfak7hZmTNDe0E2cjknJUf3t
-d79xPUJw8Apc2XgwU9uP4rARVq/tDneRuqkhmk1IgtuAAYXku9P/M9vgV76EZRFD
-jof4sehwlaP+hGrIXTiMqg5GPcdkU1S3+JI6hlRN9tYxWIKevYasmwpHQRWLa1Nw
-ftJAeHt/wRkQGzf9VSrYLW/gWxcchR+gVH7XmWQgbeWET3s6Y/GkMRIwAJlCFoAE
-J5bCnOuwJ/glDeWytDMH0Wf8zdn2/L4sjr27//Nxl2H0JSvBJsPeOrrWnmq6lmfm
-xn8WxpdO0RWFPw9zgbGkcyAv5Urfhs5PQZxBv9vV3T6MAJzgpuoYagLmQ6SLFUjk
-Z2RnfdM9xwbFXmdMGu56cOzDBZA2uJ6LrlOK3QmuB48m6QZQ8O3ov4x16V38fR4u
-o7dvWGeZp7mheqCTZBGfZQE5ee20If4F/sbs2j9xdUs4T+k2hqJScDnCPJqJ2gEc
-i5oPtjIGI3k/4QpYezpCfGFobmPZb614ik0wuvqGzEnKYa7DfwCl6YUEeyT+n7y/
-WuuZgCCFwGvF8yAAkGq3OSjmodATlckNydYxRzJlM7Vb43YNXIkGCM7YU28y/UX+
-Ndf+1mkEsWj2xG1EEJCqyuaugjLrFIMtVZHw3JkKRJ1L424PrWHJmfYORAcTf5fw
-Ljxh5mQr+aeN4SZb0LJ6+krIXKd1kEN6GjkFWFr2h/bDbxmTXSU3S2ApLN4SRg7G
-U5/GL5QxrxWewshImRnzCvfNRy9hEjGdatPfkz0e1fQsQUAKnNeG5/dI389OcZ7/
-O9uLXyuHZgOXIvfxoiso0qAj5eUjVlAYPT/9j7qqr+fFNZcIZYR2xV5Ni0ddPhYu
-K/kODGJ9s8XpeADclE3/S6aOSAjqHz0cyVJTwkMgEoTW+WZfmIVO9FmcoQQsHkMo
-iHhU4czPXICB7zqbHutebLTMkxKUaYG1wPoRiEpXQ2K9NQa7ROmEFKIXykPps5zb
-jiXWvo3BL54y4IFerB1vFnP3UYTilEA8XKngBbqTFy9O0EbrxMEjad4lMWE0mSrL
-JAFFK6Gh6E0z2QixW1Xe5A9X3CGc5myg8f19ZUUpXcr1q2m1PRET8e7SG06q7fNB
-wCd+yw+FbDWJ3fmUuDtc2kEHhr4bUqcHfPbjxowbEAYPXgnX3Xf0RwE3xNnO4iEJ
-U4TWREZkq/FTaQQnWUPSl4YarktLsarvuPNKgjGbmROvoqq//rk+wDPNcY7AnFVm
-r8t7VpkelQDa0jHBIolRMHrDHgHjfoRXOUm9Qr76KemPsyBZO43IV9ggwChQ2UsA
-XU0f41Pq02v+ul5N5Tr3xZhcTK1XualS1cwOWklbp0Usgjr0tpQ4WSRH9u6KyX1x
-Q+5bYtMJEPM+90D9WgRFNQdH7oYn10bYCdhmvVBP/TpT+pbKbgswssmViyikdClW
-dikojjWtAhANgX++1HvMmMNPhwic5ylLfpPBdgmdwIop9uSp+l+mdZ0/+4E7WxoC
-BkO4UX0zqGqFhFx2LjmDLz99cebqZd+4KioHl2vPqv0/WYF8aifcLOCweBabbhHJ
-Z6iBlGZ4bXVJOTeX6zwrnNRJsCN6GluKKO1DdhicwJG+OQiP2zIas2umTph3SoPM
-Zal+CQxl4FJnwCN6vDwp6MfDsuvbyvsh2bAwVVS5VOfrJo2JENBKIJf0JLGe8JLF
-KW/j5amFiougW1AJcbuKwz9zkIup+Qa7ZComGeblxsH1FU4kXn6BQItaLYhtPDS6
-vR27e5+l0r+s4h/tpWursfpM30+flkZ5HoiKVMo9qNjOTDyTshF/kcEcltGONhG0
-l2NJODBT10YX98Az92odjOP3lf2Lqfd0GeDQ/SwoLA9Zww3tA+mbbNfBbTy1dmva
-IlqNkViGFJGn8vnf1hbIsIGMOYMjPOEU0fimVtdkZX+H1MPahASlmtqwgYQCEHG2
-VQVDJW+l8+ysaUx83Kk2ho/krMzswywME8d0TIe+zFQaeqSGci+edCeA3sAtrVLM
-QNU1aKHNpIVZjfJtzAywt1uuPGPnhuUtAHNKlsFE3UTw42hewqOy1KRBE/A/ZIOr
-QgbEfXLV3/+hbLZ3aeVaIwR4i6AGZIyUyFQcygOkWFIO2Mik9r1gc99K6/PfaIsk
-oPOL7d5Sd8BeNciJ9RTGJ3Q9tSDKvT4ZW/sLbh2G4hVpJkcpJ7W8RCvTLZwAXvRl
-Hn4Qm/h3Xdzu45uOb2Clvx9TJ+fkr2856B+q3ryHzMhNyiEttq9RP2sFHoiOSZ3U
-Ipbm46+orzhwme4VWbVxXQ4BS55cq4esUgyHBAuajoS2qsSmyYTgIs0oAxFDP59I
-egXWJlE37QZHtZdNDx1ILZwSwLfLkca4anfKZaQmdHT21q06btehAUizyXojEFKx
-EHeDLoxK7D9k6FVazl0j9lBYz/G1o/iKGYk81g9djOkatH2qktU3esFdyUBoiNL8
-JKzCzyuB7rfp603p9sezPSNZfyOsmxgwPc04992s8YEkLDJrt4Yr71qjYFCqoSYs
-M6EtOGDz+tD28wKH/mzIVKQn6u61NDQ1utOkiqMNhEM3RUWE3o19Jg02Wf03vq4Q
-ijuHmoq/F/B2pWnSxnGOdwh+BSYzjFFZmnhLeMV7r2M6y4MmufSh7+Ygp75+3h/0
-1J4+MmLkLd303qz5e7w6lvqJcLINjcwxEZhyWB9TqMyCu/uAlYufRcjRUOQEjfUN
-0AdOTPvkzN+RCUjMVtInGDwMpHvC3ZPAeHELMwpNiNHRuUDNr56Nm9L+asMmhqss
-MsjE6OTKPZbOQTp/yKbDvjBkY7PwsFSmllJspdIma/yhI756TaEgLzqM6j0Pf/az
-b5f4G93gn6kNKnTWHeNfV6B6EnhXWo3s9n/s+9Do2q9iz3I1InhhXE6x9BMMBcx0
-CWgl/8K3n+vk8HiQOvBTcxX2GzWC9wXFvMvX6zVjOa203Pxh4mIhxaQ9N8vSPfUS
-rY+baOgi1hqdegfJLSjioeaA118WBGYTSnvcbTp+xPMdYZjS+CWhmFxYyPyuHV4f
-GYDLt/eVBno+L6RWzO50jkLz0+Jq4R+L8uq06bcURxtuDp3AwqBD6nfr7Hsvi7En
-RSeaB24x9CY3o7zUXcED1jzMO6djduOM221yptyczD4mav9aoou9+Yb4G1N2kq5q
-EFm/PuS0UBmzdMKRZFRymgrO92yF0Qhuo8AZ1BTSm9KfRG6+UFu1RHRUkiiO75Nh
-IBYER4k+ghkmdw/+Wuit6u3eKSBWuP5ZVyRWXFgYgw/tqJlANcZPWjnxObnSMWZk
-7BzK5W1N7zUPfnUXcugoPEQ6bYghj/J7AmjTeibqlffiJQh5iGuRGQE+jJrt0s1M
-ZPMWoJ290q1Dabb8jbmYOKv0YNRM/CTPBT0dWLJsA81cGNEwlCXSOwpV1+Nn5jlO
-JzOcJ813mh3WoKX13+6NJq0fv8gi448ThNtwxnF6+Js4NRAkxfEGlv/PRrOkc8CT
-cqfIrPOJ9BV5OUAsTNVyRyCdP2dZ0HhXieDSEz9c5hw9R3TRfRfkpZzPqcZSbEUw
-beom7da+Xsj8aJMCVSFBwmluKgSnzI/K9sgzFjgXXb5P/wbhb3arXruK6FHwj+Xt
-5OnHR3p+9BKsvfBOKqJKZ46IfSzxd2Zsh8fxgp0S8Mmjfzpalk3RfkKfgKwAAtRI
-4SsOoLPDRZmIy0OLOaFK5bYLLsssN3WdRdLFgg7fOoNsr9X66AvWTrD4gHK4q+eD
-zspU10Hth/jNWdvS0GCebvCOajfbDi7R99vGyx5v4nV67eB4XWScKaY20m57PBi5
-xxIOpXxkSJnfeSiOJ7JDlRxz2/1mWQgBJYXku9f8F3lC1dkMgjvNMW52L8XUZTc2
-jWCrG2cDzc9iVCVYoD5ZB3q9G/ztJXVqrMAB/Bi4Alvfw4AW2pFKxZRU4DOI2+8k
-Z13PN1HaalFgZLkCtjREdoelfsvimm0MyrudKxpqEICj86uBXbKtkW9G9gCZnNAH
-5bvfvQroF2P1tLBcYq/3tZJPZLgfJsRJifjo/kVghtPyNZsR9Hjj1SJewJv/57Xh
-g3YzYwuAdFaheBjzXqJR5S0nMBX0rRMLSX8Kku1T//6ILqpqkGNwOR4njTXTEfqQ
-+bwO/HriOKQTdLJtztZh7uV1uuG8aFAc5L82w3PD7YnLhUznTa3VegbYzJfHcR4N
-3JAIywPWV3nAiJ+pALyXYCMqDhBiuYfGdZnYOrvu4dlEnCr2kEPZMJG3Ao8kIeiw
-FPLvT5sUkoA9tlHTSbu03fWM5SxoWrkLvtm5F3brAVANDhmD9EYTTkk+TNxiE69t
-zuqzxmLVu8M2JeR+4RQ0KIl2VNGP+5t5XkpiYgOZJ6zHC4ku1qSgWLMgLWnWmqhJ
-v8hPvGrFeaR5bUWYyEubJOan4NTWj0XosC/M5p/0cvw/NHfKzJZtPlXU2aO+5OmP
-Bf5fWmzD5iEbjcTs3rpPjr2EWIQET0X/I8NESo03CPBbceeJ4MfzyYzQDce0b5JL
-ScKV34SP4zMA2Ci0jfgVnF+OIAsvHziTq4T2I2suv/fmMxWV0RLV1mIKe+nYDdyF
-ZvBGJ6QjFhDa9+X+ofzBNUjC+4gvMKVEDnIxnd0dNCPpVfayTVKcqZ6soDbgKlko
-B7ZblN2rkUvpWCdZQfvKvHCjeYqt7DR79BvJS2Ty5JfPjlfYGAbIq0RIxwV90NZ4
-R5BJKZ+mf0QAYPNuotlRQ625Y65cBpcOBgX1IGy2Jl1taoNhnCmP+qo2SHKAlCcN
-papSnEIlkhkLRsmuZFSpUHuqXd3bEkblneakAn+uCzINtSe2dx88IG7nSuj0C1G2
-RFJ8eXqrWC+luzDaYLJzid+7rxbCs6AFjJ1ysyNYzcQ6/xBfe5TXQ6W5lbiw1dT/
-jx7BibCdv0vN+ebclqr4kAyxnm0K3r4YudvxzrflvMMkgS2DUpYPCK2BIJ9TeCXe
-rAF/IZ4ksOSNGRpBr1quhs9d1gK/WwnJHakoZgiTphOAkEskjjGjov1ztEhBzihV
-Q3ocQqYguuUz3HgfPdpCWnFw0/Um2fnHsGaS9O+OP6dkVtx9oJGfDVrOQgFwwoSg
-zfYxRunHImlH6P7QpDDzy9nCKMFLWHMI1X2iDbZKJFsjy9/wsMMiG9A2+ULAwFo1
-UCSKqtJcGrhO4X0xH9P25vpbhQfSlbF0p83OjuehuMVFNT98LfwMsRGORrfXKbLo
-eEmxTySeqfuF2s8U+kpZB5whNk1OdWYhFQ3dr26XLeWyA5H6lYk/RzcICfXKRAdH
-45K4xQQ53EV9kKxItBzhCkr8QHvwsrFVxf5qyUymif4GAUAbFl46mYo2iHupip3g
-tItw5yCpjx6+b0v+YuU20BksF2qHTushs3BTHcAU2+lwnOOvHMNA/N7WefC7ykln
-655BQ3JzAcHJw0ecAltZVRQ5iQ80Rba7bqgK7tkBcOXyFTN2GYb1FRt4Z0vGOj6M
-SK75NSORgcA5ArO1th53KFxMOVyfj7N8RYe/WiGkKFGADVUnFdD/NBVbQnuUguKE
-Hh6tzePvs3IUVI+3cg6Us6/n6E3FCNf+PoPEuvhC4aolR78lbmQ7PWt7MvhPdqKg
-Lly8CTnkwyyBLWNu2IgDUZfjrFz0X9LILp3riOnhXzFZdRTkySgmmzWUeJIjZu74
-NwnGuHuku52WzXTK+NiozpHFHngsmjUJeDEbcGPWoQ2oNYnAgq5o3zVKbKediZNT
-UY/wBGQR+srHBn8qPyOY01AHTDmNg8S9MNTnjz9IUOs8Mcnpn70mxdUnQXtGgrGT
-CibWtfDgfvbM/U1fwtuR0QS1sJnho+S5PLDxIxzqperYR+vMr3v7kXuL5t4lRTdt
-p6QKZBRj3epJ4Onl03t/w/i9kxXaGrCW4aLU/uBNVo3zE/u6ybi2fyfyEYYX15sF
-MU1yBF0XJnyd2WH4pwxB1EQvbLfTIU1YYFzojHqj41as5ApGI/SczG6YHwPHMxsP
-bMCMg6BOi36x2LILfF82J2mL2OLfNEC9L3A1kHGnhiBhpFXoE9gCST8nG8txRKpX
-aRMSU+ecZ4AhGb/U/7cKoW7iWcqBOAx/qYtdHGEZH00BcwHpO7fcSxvPFzTPZb/a
-wMAMuUcQaE9rveXUZRpB8g21I7uoxcN6qHcZ/rfLBQJsXpdafG5v2NrPoRvapfST
-DNHWtHcXTR4c7caOcOrVPYOokWPIJjjKGuaQ1J3RKma9++Y7ULMZm3o63BBmBvel
-pkOHs65WYkKsgP42pQRYIpHIUdnRteuzyDFLkzU6bgTAQIWvJVdJX+zO5jfk+aKI
-1PlkSMs8tYsGdA5St/x50ibEqA1Vpjmj/+8yTxkiy/QhD5U66EUKVJmO3TiE2X+1
-x4L2o1QpvYUu6BRvUjob5rn4VuW8J9o4DZaYjqRIYjiT3CXCrHa1Dfr+YEgxH9Ek
-eub05F6pOm40Mu3fz/1XpZ0sYE5YusT4v2urCFY3A/Y+E0NhzlAOZvMvjl8eA2/v
-cWWZM8CrxuCDrwHme6VAR2mKOcQFnsm9nUGt+e51mAUM83m56VSuzLzwVVnYXTlB
-bVJryPmoisO2J17x1Tpg1DjiwSf/z7eelT6koq47+B7lJsy/a4acjzbEtGSpaMhQ
-IEKkAvr/N6nfMK74jC4DpI5cnMNAazcwMxeYWgVJmPsXHef5LPx68yZuvMz8X5EK
-+hjh/BAlcfknLrULjdLmNZnIywc+FSy75DORKXUxG6ctqf9IfJ3dsPKDDQ1AghKK
-eYftJTD0612wqDE/WGfbFfjmakmTvl7rbxTvA5baWt0Ow0AhF0FLbZuzKV62WEWG
-/xDPMRegbE5jASmf0HXzykkAZg0ZMkhEaIO14/ARJBYKE3NMRD0sHlz8aBogE79R
-PRA5GnpgbXJ2y8rlHqEgUhPGjVTq2zYoBWLiaHfbcHk1fPYUBdbRjovbVe3Xzp3C
-gxvoyIKu0lJ3Gby8QWMUarFNfaC44Rl/JlIGrFyyjHkA1Agb+uA0uD4he/wi73H5
-v9NwpUCeDoZIAYcAPU0VA5feHHBy3jz2iq+O3VAA/VUyONgdYRfz/kRScU1ZHMtJ
-yeGGpJSl+zgpktOHS2+qbfkFrifYRtncx0AeuC7vwB9BuYyKjFA2XVe0iomTDbBz
-gn10cELnjzRR/nnJ4q6Tkda4CQ0n7zxUyHczJLkAPuD0zx0I+jW4n89Jf/hMVSzV
-1RPAbYIo4vQKNVPziETpDU2KJkqvhB00RU3KYGs+IoHDn1eGjNtAKc0I6T2/9gUI
-gCHVKDjWGlSMrjlonS1dzc37NJYtzDLjnArHCLQJNAX3gTa42eHIPid4cmviX2qp
-vLzIXvHknM7DghptK1ggoAY3Gnc6lHT69ba7+qkQSzxCZz5TcnVzJBYNTokdIX3e
-PAz6nxV3TxZzOsaXbF89zn/g+mRwFuywFCiAQ5GrdZPGKQXn9xOkOysPZOEdOKRf
-vUA8ym7MiPwDuMJF5S1mfE6/lLz8OJKU6gBOFxE/3GCGa41YP9UQVIS01liCqr9q
-GidwIvZvyJuYRW5VRAK1qDGhHcHw5t3s2CEbtbOv0nef/VrpvHMZQKoRG4aaDM84
-TVtetpZZNEUkfRi2ZhL7H4jku7DQsNCEIBJQb4eofkA6NMmIrWJQcyCt/CmfXjl0
-YxuMJIqSiYi7FFjJ6SVd4qM/gU1bwOI4oXcA5enLR9wKDPzc0IgYcaHy0+5fgTTi
-wek6KwwZEb0vZ19wfJ88ZiWqcRmSW8Df1hO0l8YIjW/mBJgjHal9xLRlWrwb9TIG
-gPaZjGqMWhltTOsQEwCaTnjubKi8h6i62Qi+wCYtzmNtryUGuemKduLyMyiUe5jU
-juUNAS9PmdhQ9w1bsGzw9/+8upFUDKHNavEW6B1rRQOiTVb9fM8sTmg4JcCYGhn3
-b3C5i/onw+PFYOioRCAEtlWx1440x3HSUs02yRyrF2eBOZdz37i6ZVthKvY6HOzs
-GSZDAbhpXGQaLwu9v5ezhb6zkyoS/HnpC7nFaDXtT2Bfeye8CM16s6840aImbX8v
-UUb7v4E+OiyM6WW89Fks22C0Ckbxm0PKljGkQcCIcriRE/NPtJ6+1dq2mc/1MRqk
-8NEekldBP76ztYSvi/vhebSih38GdOaSc/FpWPYb86PnWCognNOmxNl+WSAl7g9F
-W2iRVijyRsOUhFNL88W1WuSiIF7LSHPIQawQGpRvwILH9nz+6kql8mj4Y2MiurbB
-2VOwTjYIaqqAqS13hgYdhYgeg5QK5F7FWPXoPK8MrS8EWMo+0iLXeOj33Xqg3NkR
-TpxiOdnAsYaVc+mxLudvNUP9IfS5kX8juh6AKbY9upEfzrGlyvZFI7QgcBSiYY2I
-yeZvXAWIvdVBhO8ff9wNkeoIOe3hmXINihH3jYUpt0W1ypSU009HJZoeIYqxTkK7
-cpbvde8/qjGxvNeTUWIuqCD6kxXv8xObr3dQRejnB8jWJ/r+Y+OijqIMQrkMwLn/
-faR5qNkRr0yRx8HBuioVSRM/v34Eno5PkbdHvXAdD/XgtGGugkits00o5HcZbLOd
-JjjGrSZIuFIordTVsgatxDdFeFvtQTYOhKQZJC3Jk9CVtzzm9LBZmPg09uooo7UG
-E+1lHBVl2zT2FnW/r3k3iNCEFC3VaHHZ7h/BHv8/8q+Z69VnNC5Rb8p11OFCtG6J
-iOBRw3AA1jQOOedoMgjbTOrbaYbtamQOFififK1Tu3aaIrFqy9NIWY6laydFCsjF
-EcPQj6MNkAANwg/fBcVOkBhZT4LRmEvCGehTDI7Wna4gp8NtUDr+pYSnYMPBJ7yF
-ogPoZ0JCsSFf8FuM5bMB3jx3tfgtGvA5494b4RD39Q1F7oTJBbQhY7Ym2Q/SOtR3
-ZGk6i3nGKVEGrsefo7wHP4Zk4rtJbkM2aXy80RoTw6TXV/D10EtpwN1Qm6MJrynF
-1Hagjyc6OfihVOyIrWg8+Fe06fcI3c6edRoWvrbkzIQWtKD+unjuTIfkI8ZI7TUw
-AAlZiteJI91D0MoTjn+H1l1CGnje2MvomefJphNa018Z0Ws/3CuaZhUK6k295IfB
-bbUzjrjGT62RFlWDsKcb/AvS8AlTMeZOrQNl+MeqGA220CEc6WlTXh4ImmOM7cLJ
-LTBiTveaVU83pidncScEBwZdIDYwP8jRZbw5FljqHKrG1x0NW4UKzUTbz/wiZiWO
-A+U5unNxW8/CEhPWE3DLUXj3I4wAOAZkjUsKMw+SoyzAWmnxXXg1hq8smEX9A6Qx
-xNVdKci1IBm2UldoK63K9JEZCiF1gRJgb9E9Y9bQD+fYy46WFRd2WmiD0gEKfcAn
-CDFCsYXywJHPSoJQZ2DlCIEiXNIeVWivMIqhxalpJWloWzBm4vQRi4JiVEuIM4l7
-i3P3TrhVZP0hsQGGtPjE+tsstbiOBSrFiqQelUtLxp5EYi57T4J9m6k0I2hzw2TK
-58puiGuuKKnY05h7SHoz7jFC1/981Gh++mmbLWbmGGy4esr08Q9QpQkhqyNvmXEW
-nWsgwoKKj2z2r6G3Qss5+OUb1l3pKmlf4bl0QkkXPn+ifE1K6fnXFMu6bgjyklIP
-xz64YyrAIRU2Imdiqj/f2CKNzP8wdp52Ih01z0pr0DjUGEkKhj8oPmMN4qRdJkPv
-7hwANa2f/uUnHSpLPlEQJamtliXBBJni7uU/aTHsSR3+2m2G0hcGrE/fXl7+nICI
-grLjVDNBA5KexqnjvEopEfMC24+GIlelE+psYr3RM4XkYYtNpw7spe+ulWCRBvvI
-AQbolxUykH7vhTS/OftM9fK4y3eNR23BUEAAGl44sUz5WKPYGBhbYvl6tPJNGIt4
-VWs0mQkeXb0aZRQSoDRK/mn8J2s9BPSB16As04NAmXsSeExjp4T1gOi7DouD3nc/
-wnUbzIY2gTE9t5pCmxzTy3jtNAeY3ucpXFrpcZjqx5z1oMesXub2Geo0GKSMZtsi
-I9j0ZNymywbHrOK6Kc0KY7w5PRHhGEeTfshOqWX11Noqs+sbuFLrX6kq1EO55jT1
-OjZFzwUt0OEUbEEjo3X7+U7JhAb50Gj6/jiIv0HpZQzXTN9xi4BtzgI/0VFgDhRT
-dkeIBn7RA1Nk6NKOA6VORzL9OOr2Ob3QfG9vn6NdfihriLdLPEHZr/DeDhfMqP2A
-GCnIsDg19D8IiYno167YnYk15Ey+OzbIi7Fw41h7oWJX8A1PkRtiEu+P/0xfgP1/
-Z0zUb+GJ+nrwV5w3fHpFtJz/U/8xegJQvWgWJIpEKryiqXE7FJyBFv0RLT62+jnT
-MKSgGoXF6i9oz0mgnXPvL8IlifjZxm6st2a+BzYn9xQHkcS9TmwPD+uYghRzI8CC
-ZnhOPlUAaex975nJRQw2BA9eU/kCp8d6VCS0DNllsIVqrAroGxyEjwiVaEUJRI1v
-F8iLrL+W/bOnv2vk66JxNX/zRu06WzcfA0bnI3p4N20Mwfm/DWjtN2xngbCseT4d
-++5EFGDswtfcVHqg9heIYhQ6gGv3C226tVgFNieF1htxgMzacgzZFlJMoyTGtyEC
-IEPqeBm8Af1xY2iWtN66CWarBMVsnWuN9l72570eoLzSFdw/4vpP7W7HG7zNww3H
-aRIcUQ/OSCaHZA306TEw/XiGKJLAZ1j08nC5nK6djYwV0Guk/Kop9rEmukcvOuve
-J9GOeSxoEamKIyrmhHVq6vtWoeqwpuUPq1JMKalJeQIDcOkYBdfkvhVxF//TVd8i
-8eRvFrH86VjVVSE6MoF0g355544xjqEG3J3w5l2K3DLfNtjA5RdhcXBAloYxEWVE
-4lp8BxKmGrO758YrLLMJ3lg4jz4+/Q17iv1vtnbK+0mEGnB8/Gwxe9eO42Y9c+4v
-xXy6aToN1Vz9EnTxqgp+aLLN3XE7MXT+QYx/TLmLNArI9XgsGG6v5TRwx0Vb5bVX
-SKkucK5WxoC3dppX/Gu4OcEOC/RNZHBz1SFT96fuDgKnSRjalCWl4JSLhrn+f4FS
-Wtq9A9sdmwQChNt168UWvnNG8lCJa96bpqtRVRDk+3pPBYabPz0rG+sPtE1/cIyY
-wV3tOaEuImf2Kp1zlU3kR08Bf584kCGtMLwO0O1M01UwEes7uzzgfbXUM7lnGgyM
-EUdTVyhIpSEAohB1WyG5Gnlmu0hU/Q+vp2K8OyYZMrbkMcwXe2dsjN1LWrq50FL3
-QwsxlxWqfT9HLSwVj4lr7uaB/mNWseUoKjUH5CwdJJOXolLw//qPx7JCckGS5pBK
-EcNe8h9L1rmbNVAI33w9D0bkqwGexTycJpc+83602q0nl86mKI3I4fc1LfHhEmp3
-Nj7Agad4yiecwXiBeTr9MjL+JIl1m6lAfh7lI0kI8P6CzFcFQitgV2viHbLbK0LV
-2Bb9N0hRkh+UWAAMk+yd5/AbzBuex8neuuEzxIGhN5SLdJyuMVbHLSCiG47twG/H
-rR4v07DPM+Iw1C5wc/oy7nyRGGZFsbqYX3J4XSLlbn9MzciqUNanzK+v2OdCbwhy
-5poGAPNSRJcbzNCtjCfciYzgZQ44ZH8xXBbPmXNhf0bzxs5lEcpwVFCG8m4IpRgp
-yoMsjcH9uJVYic13kniNtp26SjW+ewHkTqB+1Q0ZIsrW+TarE53xu6RVCwHV8DbJ
-HeCADHcrDQdNY2wuF6wLm5Py48eYw1bWvWaFNFfKwCs+2FSs2ouE2dR1raFj+fuQ
-4Fo97TAFVocMHV4ti79nD7cCYK24a2HxmbiLb1oQf+lX8n9iHBk4FHBQIFfzpyX/
-WHn7o1bc3uzQtiD7uv2Ahq401nwROzU/Nyt1Vm/Ex8j9Css9VS5VupS49LGC6uh0
-48qayeKTJodaeFol8VRwvY6tCn8GcbKsI46PQWICEX+mvsAdY3sVC2B85gDNDPLv
-J+s2mD9wmuI+r1I79W3ToaWuDgp+JfHmOvIDq3wwPPLMaOFtejXK/LPbqzcjqB2G
-TcVDc5PqhmXKLlywY21g9tB8Gl6B4hv4+wGBlJNjXIvWSx6ANAhsMutuy2W2bSgW
-p9Ko5VjRV/Ji5d5TooWb4WujbhwxXqOnEI/yq1NjBikwSb8e1Vr5HBKLAIGsKY3D
-/BDaOEu+yradJ4IKk/RfocEvBaUbcHv6ywGmWNPPSMXY5/Y1x9pFHbb3igX492YO
-Qfvvvgbt3pC9P9zZpGD1fexU2m87yRGktuoroBkUOnbEPdelK5/rayw1QzMwrt5d
-4dV58uRExZ1R/h1AL2KLOjGMbv7ftAVSZdypl1ujkPB2wlepHCdcMdtjOJTAP6a4
-U9Am7PP24mKERw8OC/Hz5snLIDqsoCgN1LtYLkO7kiVukyxbLgNyah4rEOZejH73
-bt5QLISEN0XnAzBOc64O4aRXdtlvHx5HErgeqVmBoshPR9uiP6lNZH5aLtgOCTn9
-xjTyNdNtpVZFUJ83+x0LcMSrH3rCSDdB+bxQ5y9GcEpAGa4lQ5FNIzKEPctv0d19
-VKNBEXvSl7eQV06GnjFOmNLI7NRU/mKan8wO3A3bHDxuRSa7eoaLazVptPhOIepg
-X6+wrJGU+aLL41Kc4V+GSqBJQ0yKf+nMNjQzjJSTQt6KWrylYhMXkcWYCkEIH4zy
-HTs+PakFlqx3Mzb1qwoXrl58uxsO5zQpmGXixnDx+6vkXPGbIHlQSi8TbCzj8g0R
-UY6a2N51rrJvUkDbferJC6E/hICPS314PpSoFKfeUo2XmfrFCm3rWFWEgqb61By8
-tif2jE7O08ukWE3kJdoRYvV0R93jM6vfwjWVmD2Icgyllm8RDTvToiZnSSL5PjB9
-1X3UpnLeHOxa4vuyC/HBYyS7AZE6pR8QPUf7LcizQPS1JPDBHUdmVViIB4+paxfz
-+IIhMRUnWo/YfSVtZlpiF6F+QlaTJudyJ+GEv64VDcstPWWZaR+uZi60vEyEgySc
-3n7clKipPiOxvFr34av22rCb7L+pQdq5SLvl99qHdeyl1fw/Bu+8HFhAK/Q0He/L
-9MxjZM95GNiRROzbgrTzHNlEv0b/fI6nPorxbRWVwF66q0q3U5wZW6uVb1UAp+g9
-rnxDBVutfChoSNK8OK6rqTjZrdZe00AVq4vjAIIk6+NmKyJvqNFb0bBpyokcfTDg
-vKx4qdxg3o610mJVIV4P60sK+nen9u8Aen/TcrfR0zB1bW+oojXBgNbS5Fs2qFeS
-zum3ph34d/mo4/XwYCgjL4Oppf6SvFY647UwGTNRpx1TykF/lHadIPUELpjuSLDe
-K2r7J/KUvclN+lqE6ow1RejvyC7ULqoDYH2vRnJB203VYIWwK4VKuRUswq66qOJh
-QADZ0pqLAddrtz8c9q+49ee8n89t0esbtpq5ca1CeDIZUGmLQ/h6id2vs9VvwEy9
-1918CzPdMC7KijZ2R71YIFgosq3KyBR58H8h7/h8eBX8p2vuN2Jnj67juPfxxuAD
-oECsPV1R0k0Jv1ynLYP78tTvrUE9gxhAQdbsQv+DN3cMxO58ORXHQHhhJuIQs7NU
-xW7pkLeyCXddbliXha1hTo89jaknhVTdfOjkofLHN+Gno/UhQBPbTmKTKctygw0d
-HLa5XiB3YA4GaCDQkL2HzuEI9R5BzXeSpvOOR82lCzTakYbPAXx8lplU+yoiKekX
-eD1YzGN1xUBhLU2bljNzsfemAlPmdashU+ryEHtQZLiaysFnsesAe+V0Nub9cD3z
-ux2tFm1XkKbYK8qGx0U3EU+ntb2e48ewEq8dEef/3pGQCvniF5SKCeRMbJ0sy4cr
-BgRTNwJ9Wm+NQzUXJ2RH5jgBzGozvURHqafL20/4eIRlyPx2H4RhRRu5tKrJP6ih
-/hH02gYNQSYdAJPCY4U94qo23hM+E5aObMJiiZZdxCAyvNjY78kYrEj3rRWklCwx
-L7XG+9wTqx/zxsvB0qPYSn27KGOfbfP12iM+kiUYVYzhyQN5m95tcpkCmC4jppBN
-Lyt8vuolnCzcvjRiKJWJy/qb3Ww9Z3CrkJHsKcVo6vtW9sMBkXDpkp15qNvN67ri
-7ZgMvo5Xx+iOnut7biQDIlOjrY8lr7k837okNkLrnSVJtsw0Q+/28A+d8olygZbn
-DV2k+jg7wqRZBYlP3cUPejRE39ol6xKGuFc59x3T9LSL7HykO8lEDUME+iJHMwjS
-gN7z2RKho7aTo/XJbbSsqFumjFu17S8FyDYN915HTcZWOHEJMQv/qH0LUg+f9+wj
-QSC+gxcN3GZ/kcMgQnsBLcmSP2bhIemeYPOb8/pRbfeGsJ2CBC9oxW9CCg/etkSP
-WzwPrXL1Nzmatf7057Yu9R0i1yBQ13728CN1MAWYuvdICw96v7MhZHEMflg/KUEK
-76tV3b10n5VFVMVkdfYEKydWsLDUUuQzYIAiRT6jthrIiiF5Y1hfr3LsjTZ6LRd9
-5W3SecveA1gG5g5dk9P/lM5Rt3ZcEe4u8vZiIfGfs/BSeZSPyVqx/mZnqOM3LkZU
-T6YFplN2dYF/CvpnRJK64VPM9jOS2EvuSRU+CEIOSXaI7PzDvMnTeJ4m1g2yuc3T
-u2lWWTjdIhfSW49Z33HX8oW3qwHDu2pYxzXI/P45jD8KLpv8DwlI0OBC1P3GuOwM
-4oa1kchmxCXLU7pzBhNX0CUHPfNt4zeW4vQZi9jSlEFygwIjSdE3DQi9GUGsA+Pz
-MM2d4P7r/e2s1A1EllAvnKEUYZBRYtHO1lcEFwxeUi4CK7o5pUGk9CWQquGl3Gha
-N1C+MbH769w+Jhvmv8On5MsHei2ktJCc/UyIhNnc/u4Fb87M/xOV6h8JgSPmRo4a
-A05DtLki5gpdc4FlUmPBOh8/pZuqdpNbO6UKfR8eBzLm265s78xRLgnMwqjNrDLZ
-jvDqY94fpAPl0I2Reux4TNfMZATrQWNvMwKi5io2tA6ZhP73ftchinWvLy9fnQ2E
-UOjjMVfkswmEFse03VYzAU0vxnri7GDS46lEeW+YT6W5M3t0FvzIIQuRUjcqG88f
-/ExwtRKo3DIPCwsKNhKPQ5raw1g7hhtdw8SJ8KhH3KfbxXShmqplI0VzHdc0g5fP
-XACOqRd+5/MJXrLIAjymg2fQdMGsq6AGgL7WmoW0A7bRiXGi/z6Ro39EUood5rr6
-1Er1wz7s6DiwnH9p73EUKhFtnu2EIzR32OrZh0YndY5/PWCGA6tnnkWSiwSh0qSQ
-Pht6nVN3mVkwhvOyhhn7plS2ix9S5ruAdrscPFe1UBGZ4Ib7blWruBKiXLDwSLKz
-NP9qYpanUk0fTUOje+7upTivTelvRu8J+M68n26h4MJx6qzSfa1b3AWpqHrphcmo
-hSYnDTr/8ss/o7ksCb11aNSLPx63AwwjNwxUweDSVND/fvP8mlNnEnj0TTPEFIS4
-aS1manCh2q3moa/hZ5J2RmuL/9PrqL+NLssD6LpVHDpWDvwdojWAA+NdLd/St5Ht
-fisRdMH++iKQ2bflxZoH3tyEL3Uxb3IJu9ZD6Uzlzn/QPuVS642uJ49OYAhWTbr8
-x24F1R6qeX+dyvIUc2NCLIp/y1/A61+ghZWX+PdDlbAbNCn9RS+cNq/jiCJAGljm
-WGGb2V/zZlAB9tJh4q/EzPBsQ2aaEMHlzs5E85EvN3+NCjyrM9FF3BkfEEzynkMZ
-OcqPXXTyWmBHoW2pPx1tPS+0HsUdwpPOnwMkPAgeGSKMhl/q4GE1SybyEOjFdbBE
-wQasmz6qtLpRNIIRxmPb/NloAx6en63Q5I6n8FMylA+kTGvhzTcumxHP/OAx3Hge
-rCPAmZi7BbPm7eJaGkRDNgev4x5f6IuXPXjOE218dR0jK6/z6zWBWOHTASHDT4B1
-1kVIyYw6MgBI2R9CW6wBAjB43/QUmJHj18JoJ+xUPByVXDQ/OwJgSqNMN4iAX1UZ
-8CQZ2t1jGTws/oChUYzu/wemtD2noN2MFC0s4Vi1O+MuVYUYySJR1xchE3gmCoN5
-OO81zx6taUDlfZTl7JR1zy2XLH3D6OZyWl9yevkx7bVe3ovUQ2SQtYh6hVKGr6YI
-Gqdy2nePgEmzeBkUMWZsgG976zmfMeHwskArMyR06mw1FPIBK+N38Ny9G0SmYM4E
-E/MFxaC3601bpDegtliS/XLeFHLJ9cs4q9yFLcwrI+O/goZvYrFNpV2mRbuLsSzE
-0+HKXzmqgMBH7iWUrDBFxm6HVOpVOX6xsb6sv0fpRcT1xIghpEaGwb+kyg6tTtF/
-eAD0tMN9ZnZWXTUm36eXhkYl+pDKUS9JqCLdYskjbki0NypNgDTNz6166uHDuT61
-ZOtJ9NKiSz2jkivnT0LtO/PQr8rZ/ENimsdxH0DGnyCT5oskmOUS0cVu2iFQ3P9J
-CLeVTSZFJ0rkT7y5JnFPk27x3IUpE40h+keBBmoR/XkT396yWgVSMGzdy+cUDU8e
-VYe7o9Hg0q2kzpM0/oiU/MqtVQTBVD9CJHdmHUI8WM88Bl1SzQqUyriwtzlAdxTK
-UTIhhOkSurEGNewdN5qHayr6GJ/G2Bxr4kPsJsYDwaCyS/oYnwWqzY7CsBxxkhqI
-j6D0qt/dlQ7LWes9GY6PTNfL6nT3hzX2lpCw1j9W3ezlrSxmwRBu2xSI/ymbNPiX
-ovaSX4aIBkbkqRRzHOqz69JCvajoeuM7GTIg6ihfPkg24V73eeUQWnu6SWh+2MLP
-ALnKBsvbhm1m0x7bza+4lj133sUOqHDx/asAxVD3y52bS9NOU0y6fMxvqeIh3MIa
-s5b6Eca80lUaP38gQJ2rHQYK29/W/SXYyNRoL2vaeN5c8UQ6pBtpyA/daZhDiwMd
-XBGOuQQ0mNnbrhkJlm4U4wluh4MnCO9Pcme6/ticosQkMF47XAHBa4x1bqQ73z5X
-mFPs4CMX8LzvVvfdzsepy0SIwshkgTDAQdEShYQJkI1G5hGMzcFo095Pn9rqLfbp
-zW+hEnFnamNUHIA0Dqmq36RN3yXYPALTPRR9I0ol2aPtvY1wUpWBpC3cQ/UwTGxT
-5K7pGD7c0cC0Epi7rEUoSabFkvvdudWYMf8LMQxsqte1LcGeyXrkGWnNLRVAVRWo
-SaN4D8xfYBNi90iH7ZFym26VkMBDuxMxi3ZzFVjeGrEihzRohGnv4rk00e52MNVP
-yVHMxLtftQXOlTo6LRKaQdtxoy+DStTpqyzUCQUeLlrUAOb8cZNSZC647XYZr/2I
-yWXgEwwZKggcvZ8tOL+KVIlszF4NsmFKDEVxVvq02V/yVE2mLpL28fwYd0dxhQSM
-n7G+tYMaQsN54K2sPnAxT9lMmnCwO01PwB53zuyAR00ot4byvXDRc0WUe5kDU5jn
-SuCFtci+hU4p1VghEWuyzd+X/3YUeUx0tGXly+Pa3+Q3Y0KR5GY3SMmmE6eAUocv
-lq3mdkrFbbCD8LOzSZPaCOodcT/591g2a2HSInKZHkejvXIzk9Ln84pvYKwnN0LW
-kpABnnsAHAEWQmyZ3Z9c7znDo4NQNPwlm6OURXWGxW6vbdJ+mHbuUa/MRnIoKNnL
-kHaF4C8D5WzFGRiKTGZyLN+IT1SnAWEwv7SiDPOAVkVW1A+0v8rnZHTdVp3YWZMZ
-jjEID7hKeNgcNc5fed1Jp+bBbUkdDSZUwk9+67LROYJSndR2bwFtftE8HgDJFODp
-YPJjtXnUSp8C+YXBtWwnyiVpyZMwibnnJX9HV2N3P7dWIHr2xjupEwDf/I6BW9L/
-OgBV22CIrymNsnps5gDPixGEq6GNz/sDgxd0BVmbmrSejy54F3CxDQQDUtndMFfY
-BuPu72ufmfNm/G9KTytpOKqJ+rOqi7nn18Y9Xss0YmFfkqno4PUpHCfJ2h4d40fE
-M3dLHJDz7bidgq51u/M5PRpQy0bmWaSKmWNN+KTCqDMAVL1FX8l1km+AyUQDetim
-Qdfg49Wl8fdtm1Gsb1bqkQoTT7gHmV6c0jVcS3iYZNKf6KUif+CvuLr/X5FP385j
-y9EI6RfQq4wyY4r6rekqYZ++cO/y+iKfH/ya6bSR9SendLvn+7mT6gInvjy8ptcT
-9op2BL0eLjkjQPtXORI0O1ck2o9SfYqCTJoC3+g8Lu4GnYc8fozHtLQVNaZq3FaO
-jB6yHIHQnOz5RMiW/mKxBd22bZECojZPDmi+Hsk2PsfP04Rc5iY/6TZ+LxxF2y2/
-CDVDr5clrVKBGQgi1hkFcXzv9g3weeXZ8yc6eSY1gTYZoIZM67qMXhQo4VzzY5nh
-hhWs9NwhkAjWHoFoNFMWyC9WFWlqezD+5MB21/zkM+25yDNGVcZ1jVFPYkRbPy8g
-Ce9OJDtjulb0q5jh15S6iijzs0EooPktjqE9PZt6sj3HsrFDNRli19ujsZ3iPYHM
-Li2OQoYFekfU8LEQBd9PtdKUQgFmcOomBqlywPfxtBCS4WE+neSaszTcPZpTWYRJ
-vdsGwhlSw8geyCDtWquThHURHWLhGjuhVkV4ejixAAej8QzbUo0GlFmTq4AhJNQq
-CXJ+Ax72g1fjVaSDhZ+p08wwRQhIMOL9xW65yDMEX3VtCHfjXAN3xCKPBED/8Anl
-Npyr304qq3BICfsMJwf6tjGJLiG1pV7Ow72UqCJexRtkfYBu8TrfTIlcPLwfcj6Y
-Us1fwNDviNFBb6aSpZnP6vV6ieocIzXyXsXGY8WjoMDmdfWKGlA0aEH26y1m2EIC
-Gm/Dvm1ZSEHfVEtxLrqw2GbHVj2mDC0JYa7mlXXDzIOXGpeHsCsiDkAMxSDvwtvy
-vMd130YQZBo7Gcpw0lPfUCqgVXUF625MwUom+7dcLcz+StdoGEtF+/EutVcN7u4P
-40txr9Gde5Faoxw8XgqXJtJ6sq+AQpZxGtxnh671F6Wcz0COIk9BoZvpDta347NZ
-ICsUo3NmUi8wSwyC7DHb5Ot71XFA4psWUaThwDkZHJxwfYUuUW1KDKyHiAyo1/u3
-Q2BNJUr6o2QkY7Q67alwbB0USH+Eeb9s0hXAywClf+HY1qunM3QLEnibqnvdcEC3
-uIlG6/9zqZCHrlHM+TXYcJMDeE8EHu6acLDkuDbIghHFXQxRE0WcH/3w+edfbBPD
-pYw7PhsklHB7CeW1553irApIHvIrGEWO0aubS08PZDoa5lXwgcffeZCEZXtDb+3R
-1TSyNhsL1LBz5UFbtQbtaSm4jHtfQlkWiROaHWvSxVywc8fIeFy9cXX/hf5BCYqd
-dSqQxAfwZ63tQ6JI1FvkETT/WwJnx9AQOM+hpl1CybfBM0rlRgD9ejUc4Fc6i1Tm
-U3qqDM/9G+i+3UqoAitImOgRwDqbPBa0lgQrALBO0wB9QySG8d3I4lOp4uIfkCDk
-4yGtscPaghZ2/aPcoNb84TDw2Lx1lp1to2dFpeDAP00=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_av_csr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_av_csr.sv
deleted file mode 100644
index 5259afbfa5b4eebf01c39badaeda84ea126f7b25..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_av_csr.sv
+++ /dev/null
@@ -1,334 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-odKcbhAU1ZGquNphyI95DF6T44t0k6ptJSsqFoLgi+e1TDxjO7R/q3ufA9JkpDcM
-y9zrDc/F6RCdY4paoytO1x8KHr5F9unj8+PBtLP95FZW0+LF78pwYy6DXwqJpmFO
-xb/VG5biJJelb2Czv3Tq+Fc+exzExfMJU/dQPkwhRXE=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 15440)
-wkNOmmGqMY6dGbFD5hrlOBopSM31E2n7fzb5GMmd/tmqW4MK9x+y9Yjw1maJJ03N
-OnHud8mv3dm1O18eIEr4IYshOwefDxhITEm2nmVFxJP2h4RzmyqSV/uLZ338nkSA
-2E09PY63Z3W002fc5ZNPuvJxzNrmJ1j8d9WLaRKYquM14WDg3aSCEjmIPJ7k3UKP
-wvyAvTdfta1j9h5OupyRAOEGaaITOX/OabadPIMHq4g+1ZAkMzTWFVwRCniwuFZF
-a+vKOVh63Ka5ciJhRqaN43CMK8bZBdSOzxIEvyzfp3yKBTWDIPy70I41CoU+mQVe
-sQikrYeOXiRBhZQjfCm4Pj7Iz3/VutgaijT24HAm5wGvmyYMHfY/4vcYWlUWdRPV
-deajszYz11NB3Vv7KHUjzPs7qtIU5AowaeEvnR3YGrr0olq38w/ZHRCcvGnjTtQu
-rOFytGms4e0kt3SkjxHHhCswcbnHOJRKnELc0Q85m0Z57sYXaAyzAYsZgS9YkLi1
-1YxWaZ9YtTTLGMc4MjYykK95ggBjQWqyNjoZtonpbF8MAYGacFR/HY0CmX/j6XFE
-bmLhqhsXQmwIb3GS1FSyGe2P8d5nDYss9DFRf5foxw99fZwoEP2aIONutbNHb335
-ZdwSEjcTiFmoijgareDjLt5Kx9qYteoKaqupmMC+H3ouW++W2JMs2n0TjGQDwVRE
-5G7rzaxIeSed3ly+d9sXwgXhBgxRVZGj534YaQunKUq1mmZ6JAm1VV0rwME6KmPY
-H5cdgmId1WjyaYlie3zdOlRNREZNijGzjgiAjT4CEe+zkL0OyyLvHlmCNTQtWbGw
-okSxQL84kKCi+2kQLT+VdTvu4//qFV4c8SUdQC6X4S+UPHObE/YyzaVuJyRt3yuS
-+nGe30QRN7R58cIA3NqbIcFmr0dsLJ470dU7sCANVzW/JTKsW+ulIs3cxTR6+Qyh
-csAk0h4cDMiih9ZB/Vi2tgoSD8FJ/DKawYzBQO360Vz6DCStVFUWPp1U1wzVQQl8
-UIqhmNPe8BOnF+zUv6jPo129VmkR4oeSBAXtxbBMwqBPd821GnvzIzOxBjcjWOkd
-fmj/B/1lbq1ujUk7KxG2kHjMih5ofqTYnlbluG2359BKRS0H3dbPbLplKobhM2fk
-TPTlTYmE0rK7yJ0d+pcvdqt3yGIkZs901YrjNtwWUb5K2PyrR/zk9C3lo9DUiVIw
-h5Pwhi9ipjIQU+bxMwEpqhIVoNRiAsuvxUmmjVOIzq3dDip+Mr79S468JJhoa1kr
-07LxsXt/kRdC+m0pICFpG0bL8/tyLM0ORFdYnHTIxy1eVbW4I/44iRvdD0SpwGcy
-uYlkHBZjUXOVnI4pMdXlBaDDs5rfDUEREw8+l7qUnG6hw9On2JXlmQS0RlKvPyaK
-5Otm5XnRt4lpzh4Tr0C9UkvgcGIJY2+0awDvriR3XTN2AvgPNpYV4QahseJpyUYF
-3l4MwlZil86dZMQyGNmbp/teZ37SVVFKgcbwPpNYPPJyWN6c6+0rbgHDwIeQ1X4g
-72ldm6BCGIG0S4cF980cPlwwlyK+qfWCm0Nn9L3ooBeMRpm7C5vXgYOLBdPHYcCc
-3qvcPEVDgdH2+ikNMlHPBO1XlscMbaBlMgBJjPMUgcYWb8whAYhzXG260WxLSweA
-KgqS650/xrltfcuEydzVewYws1VkSmDBr5Zvw8Js0aAUEwVS4EWMtjPGNcCAq7oC
-YZj+JM6uGD6de41NMXrNvL9rLNItu9jgjamWLa5EAQ9m1VdXJrCqo5nofn2hXdEk
-hOHKFFWPAE3aKi0SshlX1OIXB/KJadmN8FjwzetEYH9QXpXiD7ZGPC2W+rLcaPgv
-+W7oKUpSGFdp0/wbwVxVzBbzK2ZBx9MEPmtZSVAWnuJrJMODD9T1sjyX71hE0P6u
-KiCMcYoXxIaGA93L/9iPLE4MhG22Z8PohfwwkcPWF0dOq7pwT7MLPyFiCSGTBH0I
-YoAOXsaX9exLASL//OAKClfmkPsp0G1QZd5zMj81zCjpbICL7bD4shgydd1vHpH5
-7VdX/DipjbBTWkwgRS6kW20IQsiLqIg2mdEwDHyudoTrTFgwcgIBjYG5Kj6yl1yi
-FMn8v3uGJ4AoTJlOh7fKtoXC9WsgYWhoaHIy9kqhzt21pFt848RboAR5LWYRMe2F
-F+F5ahz3eC8MzyETUZkZZ7iXciv2ho68R6WansffLUWdnCU1pYMyc6yFInemow8c
-OYzC0IJ/ZWxQMfAPjJgtXhtrFcvX9Q/k5tY017QVZRlpJtsr3kJqZhbFFuAlVL74
-1RezPtqrxIWx53Gi/QuS9mqmo7gHRgTVekhDMFO63LDlbQRn+1aronH+nKr7/ezo
-ufXZM44v+jskvLFidF9LwJR5awJcdHSXamx/AgAZsjBoCr6sQ7DHH9q8fqnbaaPJ
-uIeqESg5SusaVtv+v9bMmpksd/KXjvtMFxtDOc1U98MH5OsDlgz080o5BEh3P5DT
-PlSYGBVvlTAMZq2vdMnSXvkRHBQa+SGB5DbttkqGr56ZYn3K5MTViuVpi5/Am/Lz
-M8YxS1oremhZEv3Y4ZzoujGnfCkkr9nMRv+4iLh2G3TxEZjBgwQZBGziZhnZM+1L
-CVl5TlNNA0uBCeiikTQSq9hMKv6gy9PPCrBJASYbQtctzKI+GZtzpvhWZaN0RRpD
-NEeOxJ3gTA60+vHuQ8azn2pzQS+b80zfaq3UzS2/Lyqi15/JmaMzx23lF9uDOYuV
-Tm4NDGKbLW3HqrWFilIngz1gRsMyFoMxCbdJPb5Wu6atdk1Jne2EIo0sWoXDqpCY
-FtuUAINVCcT4GnftZ1c1ngt8JvPiSTQ+Ce4aaukQEPP3OT6saF+IlKEkMcn6J1XV
-T2j5rvkfrivQkIi1eX1EpMxFw6hP5LLAczstvzDn9Yz95qK2g07cbgrLzEcM6Vyo
-5m8rKZdlYKeGxzTuJCHPRqMw8Udb9TB/77E+wx3BM0vNchaUvYA1xzDcVz9OuCMF
-3KycJwnI6mu4RPWBn5wYhX7HVMHGx4XJSz5xhjdjfqjvpwXllZ7lOjHGV0x3nmXH
-SpcdNO1XkL7J1KBh4mneur43A4Fs8jgfWErcFrrqQMZ6EjQDV1JpQ3zCoSEpyjip
-plTaMqJmzxB/6tu8l0ZD5jOoEI7y0J74+XwPFg0XqYHzcvGAumBiDgDiVeUARm1K
-0uLFxfkrPUw1s4R+DyiK+Au2D5qEFjgvWzLbvpS3EC/eEIdLMAVYAqqH/nm+bVWs
-JqO5Slh8mnnXkpuwWw+GTu4G61T0H3TyPPPqf2oDJbCUPUYm76OhSIcDgz0wKGiX
-CD8QIeV4HE9TZJ/5sszitQnu+RmgDTolfvIDAJQn4oRCOjjgmBN4aaHXCL86vaAv
-vbzIfKaZzr3mx3MN1o4fN2dNQ8fT412fhxCaqOMzMsaYeLg1+fDrSyfEMyjK+ePa
-48HcHxEUEXz6UptRWpduuCIwfxu6s755cvcsWx8S2dBRO2mpCsse1PD+idEmH43l
-GXtJB9A1RMC8HGIZrXB4rNPhqR2Tz/89VMC2v3/1Wz3jdw1SkJnsmqZIVM8anlWY
-PExKBFO8V8sefHoZUdqrsgu1c+HrFUnI3QmhyPuY7sYSSS3nv7IkhSI95A2+uvbo
-WHSdvop+yXBAMTHF3b8Gu2d9g7ny2PeyTaznNBpa3S2uCiNvc9XvSJhz3Sjuvjux
-sbsHypY/NwVfMShNjmSbvBM2E/yhZ5CZRjeDqikym1lSnC5wvwHqnFxVRHyMhdY/
-lHwFcWwGQZoueVIpCRH+w9WKYe2C5Pe4DJca9by5ZGxgasGVkQuTaQPdLKRqJeBt
-4PaV4aegvYcQ0G3f9DZxb9Ec+johy5HPJkAIR8QXFH9yluB+JV1YH1N0cuXsK2dd
-aowh6yG2q6iagJ274BXkaAevwKe2amg2OFYfPJnQS/x9VyBJAY2ojGkO8wI67rhG
-HrkYgZ8RBbd6hEQRTIUGxD/NAGgX5syO7eB1MqSRkVZNmJKPTJEVdt+pcuUq6xA8
-xdHK0EvKrWZR12XggPgioca7nVjAcFic/0Yb9iU5o2BAQIO4T/euVIF5NpJq30m3
-ssCT7IXzQ8nX/ETjofhxiSR15SP2U2IrrEgxFwG3zgG+ZHWENj2xPsIFtohf6xTm
-18b8un1xsB+jxVrcFDSWkcQX/z2sGZpLdNb/RZG6P0KOBN2mNVRZsOgEFIj3L5jx
-H6Tsa0yd+5U4+qHdRJcJStGUjUGcg56npVlI+I6z0/v6vuokBGSKBVK5mLwe9TvP
-yWM/2Zht9iukN7KBGy75pjMxDvJPpB91hXosFarmhEQH87AHpQPkWEHfW2NMcvhs
-s/R8qhttjjG4LHBVsPaTLpNFhKu8LPKAVrfbMj2kPblkmHQEnj0A8cuX4uirnrUe
-kadvAIX+CosV8Iyfpej4ve5sgbd4UYU/r9+aDsoX9nWAPETOFNa8aQwAJvKCedC+
-TyEA++/X+9zzAVw5ZQ+QwLenwlu2GmUcpn7ksw52hE+wTK1PuxLxRADcP55xfChs
-PQ4T9D48rfI8GKj5oHtP+fXC1tf6wORcLOM0Bgx7x6c4SY3Zjzt6fZol5ZUGfWSo
-CDd79U721j0+5nLQsS4iHIhJOyKoYd7rSrcRb157jRil46n8TviUZw0OyJS1kA+I
-p3a2wTklKSqcY7P8WWOSncSh3SYHKXuxH4iQPcQlOU+DVFl5j/j47ohv1+J3R6vD
-T5ZqjneJnKPeSo5J1SjRYbMvupQNn3t7o9DDFEIAFusMFAzeS2cQzHfAqYGzSpWA
-GwUaNLMl8q8vDq/Q7pU24kMpsQhqSBxyr6eQOHeXxBsoWEEu6QY+jz8xpXmIGt+g
-FJUhxiLjKC6wHK2KTjQbb8Al6cRRFO17HLQTUOO+2o2tGsBNQiORHkhJWzgbAAZp
-8tte3vtOGLYnxma9w8FHIVAd5Z5Zi6eRmmrYg2OvdxC4Rf5/MdHNPYcUXO1rYeT6
-HY1ffDoUVqLVj3kOFG3lL1v5QyHgdxuN56RdhLwZ7dn0wqm5SitUnaNbYPF/I6jJ
-4ByhSQUqvbLIP1HcEBHdwtYqHaWl2AAQY4WEk4LFMTbi1CAMVx3W/YU6RhN482x4
-dDqTbv8Vm7yip+kOyvgZfdYLJPdkJLsp1c53i3oHjM0fhMc2uDNe3eNZuhXjSPfK
-CxYOAymOYk1kV+dr83Z7B5yhNz5Z+qN2D1dm2wGkVJIG4upMU2aiRddWzG5OVcRY
-gWdhFB+KJedprhUXoWaMNCe/RHumMf97ytv+ohyK5ixYdbLQYg6ebJhNhx+BuUX7
-zDe4ePI5WtNzDmZyxxj3orfc7uoinaZPLtbv8k/mtP6IVHKPml2FALwMvinWjf37
-NEmJ8bbhUAP14lxHy16fwuV+oCSG/qNfYmKMR2M42dHMYn3iIuN9/NZN90OdhnSb
-m9Eh8VJVNysxQoEB8skGcP4Zj+HOweXcKfi0I7NmIQmTp+KUh6e3mBVrDHG7SlID
-1EhzylDXZ3Xkn9jiNFakXzhmSGfLWoxbz5KbwEGhDNsbTcT2dHhX7awF07ui5RDN
-VMaF5pFDK0QFshxeW27a5JAPFOyJ0GgJq3NJstS5RQSU11Kk5ak2Bm6itJenTrEM
-g05PYvraKwaHSB9agfbV/6IDt3x97P251XmPCpHWNIgYa7M8hkzN0fhTLWP0GwoF
-Xhc7INyhUI+MEC/SE7C5YS4TJoyug597FRlBipR7wO6vvObZnih/1IP0DzXGVEcZ
-38pciHAbFWBMtoJhLzSSIBTgEIzuyjZcarDtiSEsJofgPogyHf7NUJBsC5foiin1
-e5CQ0LpgnETkwQcrIDZY9hYxgCfIlvCEa7RON9WG/EonTH0qLcm3d6mdfy5dcuIc
-IUjuGgYujF4tYrEFzA/GtYYw3AABmnpr+8bPxN4xQbqg/IC0OztX1skjYjYIHOvV
-SLi3coh0hnFFs9W+2lIx1Ow89gMdIGOu0GksZuv8vZ4wPbBYipoFlz30fJfniwCQ
-kFngi5VANPx2b37TLAitf+Yfx0d7Po/sxRlhYsoiQuO/TYYZnxHmHQnvoeAwT+4y
-42CAEeXBs+WCoQ/2N7Xix4rrVg/ljdaAes3DsuHVEWedlYt+E12bereOgReIwus5
-YwcTnCsYFXR8EaB1p2kU6RubT4nwEFo1zZdShZ6KdpkjZO06x+DV3DQROWAs2lGz
-8uvmXJqXz3reSDJtwYist3DL62qDtU5fneG27b+H9enL9iJgUmCywpw4KbMRtCHa
-9ZPrtpSwNBTYaheHKm+2h4T0a1t/xUUI0ic0QvqK+sHr/kl3pV15FmpCqweezCB4
-dv1gv2w2ccZpFfzyFcl1zQ2dQu3cNQBb/xfHBm1Ief9O/RPqbvQLjUCUO/zPLJOn
-PP3B7o9NqJwdiu53RJ4SM0pkxd3ElTYJ9vzPJy7MeQxQlTnrat+hGU+D3X+dZsoq
-BccF3RLA+py5WkVIjOqkmAiPrmhKftOvQDGfHBVBs67b3NHSKnsnsqzlNHoswv56
-hjv65qtr4byzMNDx1Qr+J9U5lTSNydA9rR7ZVvf8eccNBUH1becV4kcltIALrhsK
-sD+SZjbfBAA0tQ/C6STFLUan8TLDPXOoGlAP8MID6XW+Fp2PZ7w9vJb4fSbNi3W6
-yS3QCMQe5FRzdbZ7uMVqhrcU+l8AtzjHBnxTkZA8Ntuo9VBNMH/frrB6WC/obcba
-B0JOCrEws58h5Bnz9KwfIhGRCuImlCfC+JCKzvjSWHLTSlxOinSik/fAnuMDYvoY
-jZDasXXJRpsOIivQjO49VZEPh/UXZ+uwLkmqoZZt440qqDNxvnbBWdr/dU9t4n2z
-hDLAE7kQ6z4+NIC+ef1fAPLPh5VAR6CSsJjrk1royuBmuMOIilhULBLrTa7fjerG
-40ciMTmoI1i94iCftUxXkajur64V3zGX1AOHurJb7d4CaobeL9iK/9JsrMYOgQao
-X3zm4Pf8QXeeyAtj5HImysxd5RaEM/NBthXaEBSza/E9BHOUTsG7pOV/yNClwCLw
-WKItwjxKqTcp/FvsGuHzSWuga7EsNkEJWcLFf8823lLDLy62b7njdxHVtmBebhT1
-7Ighk8qeTr6d+zCgjRLxEhAL7a7AKqLJ/xVtyu9dc0zvTenZCATDV9N04ZpUouXC
-WvKqRagqEV3UgO0aSGGJvmlOuNHomx8ik9vMgCdxYKweCfcHWhux5wmtK8R+bWqU
-OjYPBnHL6+qpck6WBmr5+Uh7dpkNsTQ81VeZbiYKXcoQ967dT2wdkLbelgwvcL7R
-GsOS6Hrzx4mjo4Rgnlpou0zWuvvsa0LJoH32WgzQO9zKWaSxiFLtxcjaufx+4b3f
-CUjkBEm7C+0WZqgbr7trDUx88RZTS86Ow8JE1GAU1c09BMt2SfDpps1RwKS9s7sd
-hUhUg6FmsrEo5nLYeiSyECVPy+TyJ8g8brD/KlLVhFTgXgC2vwr5vX+gavu+N8nE
-WvOseL28KwSx/cBv8z4clDd615l3tkBfALDerSdlYk1dpEJnIpuYcvrUpTdWxua7
-iN7YSfYhBhKuxKxR9hFZAQ9V+Y9X+coOd3ES6X+vF6G+Sw1ps0/aPpi2DG+G6Obv
-hS1W0Hy4ml2kDe+S+NydjEikX39DxUvd2oDs3TYfpJJiGgE0nDFDD0zDeg7zlFl4
-Vasre1ilx/9BA1NHmmLvyYC2gqOKcAWH/93LUguASzW3RTDq5iogNu5avfA75koG
-gH4zcxr55DxANXCA5ya6RCnFEbBF50uWwx/MtK3cRPFqUWJxbJ/4uWx7hIdccysw
-BH2y8h2mgLRBWd/NAdSrmEVqx7xPp8kW4fF+JAOR8jpfUvfOhXc/hWLuJCLhXJx6
-W+X4KixLIob+FlJafm/BDc+vByti/ilLVhOIzpvOxZrTqvxZwEDi6ehpPrb+fKdW
-X/LUSIv+8PHvtvD3UU1+9U+hd3SqObYRXSCXPhBjU1KgMMHv9EcfCB2NNEuFuCAX
-hRQQMCKncfghw1PfPP37m12wHHyDjdCbW2eEERLLSCQy8cbwrWX3L+xH7QRl7Dq1
-xaEifmOwnJjOWxQEAi65SlL5/JN80gnrBkG6F82C7KwQdVL6aLEvBSaTAFJIK4mX
-r0xs/1Dh94X1NqGXfCNOV4agEsC0Sxxe55Mbz6yIFOpOM9vUzPVvnni/5mT6qqTE
-KwROKYUuBNCgHYsXGBBrvPIttgpxi9iJ80OwCYZe7fYom8xAE+DKc6TiXxVuzRow
-fik+3mXlCkMNIQpqRURQ4kL8VUM6ChDsKSMxJmmvjHUPlZQTG0ASvoOT8WY6YqMG
-Zb22QBqeCYclLt+7ixwYIg9onc4tFN6vaJan+R9MxnnzSy6EyayTkEPJnj2N/n+1
-feSMyYbGaZIZI/N38M9uU3IwdUb+6TxzwxyYN8cPLA4D2zBiIKMkNBay8U36IGbV
-4u2uZdIhQ/7l8AgI213rJANzq/NzsY3Fc1j1UISgeWx5trgWfOaK2JWxHiLUZOX5
-sIKv7qQpChQWMN7vt+8o0tWDfTYQmMVW/jeUHaABzomEUGEdufRa0twUoxFV2sKu
-O/BGA+3XV2iT4Bx14uIQ9tNxF0CKuMXjweUlaOsNjMiOBHYFZ74TOZKGCJOHixvK
-e2tveyqqu59XmryRUJIhtZUrLbWi3Fv9DSjbfVOkWzdZtRD/g1OCrBYB7VKNzi8X
-2zFfdbmztYqG5LzV7jaq9zllYHSaKk1FvF0rGtLa7LF3rjxcldOzftIDG6vPfF4R
-/kik5RN9X/BusXYDUsFwH/R4V+utOJJQrwgmtl+q39pOflOeXydlzsmi5hHom8oF
-5WQuxqyZqoZ6uAblBNlELgq8y58MGAO1zITikkgpRuo4QBNbMAxBvq1/InqQp4ZM
-Wk0RzsU+yiNJLnRGh2eevOMP0NVUdZkCztgt2nb/TeeywxqIl/oThwljoxZNS3gS
-E+01/DYLTLjL5FV12y54AI5GmA4+vk6C0FtkupUV0RBnLnnCgZAFXLazsxkACLiA
-yKnOt5o5mduaKbF7D03yP6LspYULPaWfsAoD4x7A5ANu7e1oK+J1mGjVvStXtSuL
-HwkI7nF1p4bAH5zyhy1QrubKvFOwQuSwhMI6N/gZ5J9FrEoUDhb927xkh113hm+Y
-kCkMHP0NRWMHXf3VW2p++VGofIPymg9HvXQX44IQ+h+38eijDO3wRDq8QzyfutD8
-bt8F3BUwxx0ONfLXpJxkS9Bg+T2EdljPZ9DA2dCyHHMMNL8E1X8YxWQd3gmamILA
-rQAV7ubrvOc3GvHOEmYPjSx+v/sBLqdGffFn01tLNjXXfFVuwwvxN4nYOhK6SYa1
-AyYFJVOyQw3xAwVasLnscYxKyBhztTfOU/IIhxIT4KEOszLSwp+UwA5VpJmkXQXI
-5TuvFrncfsEdeAkk2voFE+u4RG9Wi6G2yw2FT5P4YWV62igG5T/8T8VnEjZrMsG3
-mjRseHDwhRdla/M2Z5YrsYIVrNeIwSfqleNrf5y/1LiQaJN4nw89Iz9IQvYyFjCc
-wCOj0whyLg2zRphqZgwLZUi/JeiVacC2eBc0z5m1E1qrKff+fCi2KsdTqZQNW4sK
-6Hoxe0nF8MbBa4aY5y//2Xu+4+iq1/C5Ey0jSa6KAl3sl2yyWo+I1xb2dlmwoPxa
-ZWJk9d3Q5xEGb3Wm79zmCm06Dotnq1eNM5+dW9un5KpQvJVS8R9rm4SaWotlvru2
-4S4mRm8xifM77x8EwChF8cCaASzQMOm9byfbYMvTpqxhiHSw4ltEQwwKMJhjpe4g
-mgooTKE+5O+sWZMp0g9XZoWoYfHrQMgtBXn7j72VUrLkGTnGrj9mvXdfZX1EQ+/y
-Grn2cZIOkH7XRz5oVsRHwdR9ralRZKPteEcShhn6CwRRVcg02dQKRbzpbKv2rrdK
-Fds/mvCDvP/ncT1eKs/qjBEZsNfAKeKUFMokZDQBBfY/5+6O1KSjo4F6NPCA01Uq
-wc4rg6X1YmafQ2nt/1hWhbs3Qop1DBXr+S1Cm+aSepN6BZEOzd0edlPTZ613IiDM
-rPw8K7JfZ/d3Tof5a/zEvvruu0fbQvviIJsRxp/alT70FuXCfJXRTAwjtufvnq2G
-ujHQ+7lL0p3h1EpSvX7K32fbwEx7mK1Hw9mG0ZJNM8jn7BDe5fV3T3XNvhczrzjF
-gDBHJODQRhId+j9Pr9jWDgh0tu4VLdhzKoWoJHs3xMWIEKEY8RDt5Jmy2HNsJCDW
-y3iuLnBBnTFMki6RMxDeL+UgDOYb+VQoXhM/cFDJzhfEpfVLayFC1FXYUGoDYs/H
-sNHrUGfx7jQ+Kz/4ARBqQ88CD8ZVtTCM9k8sZBZuPSrBk1Bk9MRk62/gc5GZ8AA2
-76LItb/jxTdHtzIFqYqFGOoMHMfaPdrhz4prgiz1hocNHywxFglzh1ZiacOa4a6Z
-StdAeJtiQRWubReNi8ZZ80TONm6VG3vhTQFBUt2UcVGV+b416HUa3mpTpJjSFv61
-Ua6Ap8oaEn9MUHFc+pnwvftwDSWYCthZU4o/jTgrYf8C6raHOxBjoQ6NBLZl9mIk
-xDXqBkIXoxw3gn+VPEiBXrCI9Do7c8ccHaK3XEfaSdiNVx0z0JZShAHRWAgdUeFF
-QErfzIG28tUq7fql0BzZqsB7Gn/oWx/cwrTjsevB4YG5dPeqsoNIWo07764PP0e5
-S8wlnduVOmVzH+T3a4ze+F/4blN9zwoIFoYAdezSBrp/y2dS8C3nl2O3cQS6yxR6
-W7vtSm3o9LAZqmapksrFaCXKMAVAT9fOKzrFZWZLoBeRq8LVXIqHmbAb6D+m0InF
-PddeeEY53qI7QX5j+gDLvIuF5wF0HTeWmUWoVA24hKHlGVYV2DbdsaOWE0KSemYG
-6uwMMVhS2EgEi5RkBU3Br0aq9Rhti2cYsl2v+rnHHypjWE/fFS4o+hfnC2UxcVyE
-/8s2vT+5tQqmWeb0Qrp703jF1tcbbDS7AAJ4LLl/iAdm3lFwheAW7pRcawZO8Y6S
-rUZCD3jPE1jqJ8yJtfRC/6lXmCvOKjdNOW5ZhOcggbCrSBMVdWn2m3ovws5W+yl4
-LEjgVf7/XOclR3h+U48HKi5+2HyUoLA1j8iyM7YUif79TrnltriIbO1S4USeuuJD
-YFdXgmFEZYsoysTrsImJxo5K6BKwC3gWDWYn3iOc+LrMN22RlztEaTDlWCFXiV08
-g/yAIiAgI5OLZ9KN8OsHyrtIEl2yQS9G/9YgZ8MShi3q/xw5BAoPpjtAFqnFn7Fl
-A7fnzcUE4Ovd4APiqMFCFFBxYbTnXpmpENZPjglGlcvWgyvcCUGsIzF/Y2c44SYq
-06mYhWsinSTeU40Mc5ok5NBhxMAOeJKHDkazSF+MO2ous0h6Kc00bGZLxBOkPXjn
-5+mMDrqRmmxrFjJ9tSJ5OxZrMkWoSQC/ChsbXJTJEGVOZmM/unlW5Gl/sDeCGpHa
-zqWe0zXLXySa+EHMBWG5m9R22QFT9QJcUGP3+bA1f1eEpc11214ZuY0Nf9ChBkCP
-pr6c2Ec5+rcuDF9RyTGQ6KiHLs0TQkB0IvF49S7ZeweX9W0VRxbdi2NubEVjmg+O
-g6EtPkOi1haQxWHB8HZZZuYHKWHoj6ABvasBW0a8BZoSkV1VdksotQuE0Mw+Zn9K
-xVyl/f6EYNQQhuaZ4KfTvG810ORD1qy4j56GJ95yCvCyihcpjd7tVEWPOSlZ7A2G
-MRKINZ0PDJs+Z6wED7RTKzEWzRMUeudX8y5HSHlE+plEgoyLl9c7EB+gX2RorojU
-h/Atcm6VXqQpdOjjCY2ypSlodyUGUIP/f7UjFusq1lCxjP726IFtT3XhciTO/FLr
-lsHJe/1L1CE/Ysuu8Trta2VHet0wFZrhgWuFx8uunKy0MSlGU1cs2pgvFRSIo0cJ
-WeSbHRIdCUHigZCUWWurabZoP+XPVUyQou0fNEWZi94aFdvL8rYuuIw4P6J95m4M
-2u/YAP/2Cidi5Nv78ZX7RRTZ/cREEiAx/kaFM9e4AzP1yRp+zZxC8+5uu80Z/U+c
-lCOP85ryDjdfOB3PaSBpreZ7tBFK8KMOCJ2WAYKdoRxdrg0iRoNfzZruINOSlH+X
-vYBtSei9WhlJHx6i5lQcmrfO4m8kxi9ftizrv/eQ7Xsqk8Gm9CciwFWJs8Xtc+WZ
-LNlEXxjgjmkV4gy9/N/BYbT8XqTKLqO/CdpqE+03uGsOlD/0mHu41AUanYVzgP+n
-HLrvBo2a9AgDIJnvaBlo3LJ/oeKnhh9BVB9er9StKgwxd891qTrKgVsa2kWiIs+z
-zYaaIAFcnvyKBUc58BjeUk3glywnKBYOPVXSUqsGt/YCIZe9iLDbH04GyAScCA9f
-W0HLEhvaRMZKOt4Jsn4YRJwpmnVbprcQd8xGAsA6y3oPJiI7w8WEvY4r6TR7nYP9
-RQks0zVetnu9UO3WLjOER055xMTekSUBvElBLhvGhRz+uB+vhNa0BEzF9M4DFCvB
-UjYsGfYMzGPnh9ZV09msal3j47gM0XWP8FaNkklCqKIidFNWhCzvICcvJVJ844KT
-hM0OasHjvEoy+Qooo8VTV4DShIJBOlDsG0wYA7/fGLR/kNvLaPrbGV3ZDBnvnBuV
-PAneA2TVX6dE92Vf7Ta8rIUgq00g7CiWilzkJ5scS8pjgsvUonPXG4yve+YRavMw
-hFR6zaETfFeXeUeP7JgfJOEEFemQxbCHwGzIb7y9WgmFXeQ+Bk9xpUpVZZhrKOsb
-0U0FHZIMBeL24RRxHIJjATntJWKOT/e287HQm3zha88Ilz3iW/OeNq2+iU153z0o
-Unw814d1QGcgZwC9xjEUBy42XbwQeqSsE8pTw/JiNjLpFfnnG5HN7tRgOzmsoRoQ
-DPMWplM+76JAqub9kxTUUvaik0QU1Oj0VvrL+W2BOCy73SZ2YegmnXApXFvwF5CR
-EwqwJJXOvVL6+X+cXW8aud3OLD3fedIlg4HKZCpnYHceK/ZkGk3qdoxGfiA3ahw0
-+NNcWkL7v6arlkTvxlq5eNz9S9MZPRSdT8CD/2VDu0sbFyDE793Uu/hVZ+iOXAik
-ZJ1hokhZHFIuhoxF5r3tKEo6Dp4R9k9BmfSL7/i8kLoVfXEEGmT/7Ssn7hTmeIR4
-ZDji3GXmfHOUNPwVBFaEC56Des90P+iF/Zlg1bA1u0GiQYm0Wp8TVaXkMJwkq6hu
-DP8FRs794CrdttoniCF1GTIKiKHadmTgOK0XWUP+/B4T8d6uVaWSVjIhSK0DIUMM
-/eb0CjSyGiIWh04ppLsDU4/XfImUcYczDjEkpqkv7BeLV3OfPejgi187J7Z2jXex
-rF8SQer6rmUB5TZEET7Qo3eia8gQGt2bda//Gk6kgiqYuod19X7roSr93GMLJCtM
-Ayf151nbLikEBU5hjPxpMD+EyeViAs3YD3mEjtOIUF9oPmh+kd1fYsa3Z6ECWtg6
-h1Hp3pFUQyoRsgbx9Sv573mayyVTbS5uT/lgRnfUi5+ws0vCiwR5Xu/f4SE0b5Nn
-q4/u3l82F4yM7fBkClYf88ezP37JUYdiyI1yffJszNrxqhs5bpu61bzv7ZrzxWYE
-k+OiOrO/cH8z7fK8ghxb33iDmV8q7H3Ccw3Xn7VgspEw2hotvAksTAzAXcFIoCyA
-zXwAONBNOEAKk6XtWyfTHD4dlUF+xZo7H+JBX3e2xT9B1+pSAdU+Z3p7A1zx27v6
-/xEqyNwQ8Of8yIarabhGeIJ3fJifzVmGxXC/CgdZlZ794SBwYZki/ojCpRepzZBG
-6wXFsEk5ew6kQg/jPYtjhFcsQ4tONLOECaO5zNBD2BXFphcLHJm1H5hw+5iZtHRx
-PT4JwW2wkBrYASfQ0kcLqBXC3WIkRApx1nHosDXwNfyJ2RyPjO505WW/1VskVWdt
-B7CJPME3h+ixuaxSH80ERmJNd/4MzyQjwP53wg/zfpJeGc1Lil7dlML6xw46ZxR4
-/DsLTu13+IaS8r357l2aIf1wWNd7bgdwqo3R6qP35V8MJCuw6aFcfdSfnKJrFZXe
-d57pVxKSSjCH74OrPYapUrLj1K1ROzxn+XQ0Qf0DzBtHJszfUWtXZACEPZ7u3TTF
-ycqTXGQ2kIdwmGDJiEW7sbVXdQjyZw692rxpfWW2oANxxsewK7t+D7mhW6zREZrQ
-3WJzf84i2L1JaCUhckrKAfmbFtaBHuMAbkboIhlKI+SYuzL7yg9Y17FK51fXlWzI
-qeSi4C0QLWn26s2Y7rUXQthU+zhhHt0iyaEASae9SPSFKZQaELqRj9MPpG3IRVnE
-ahhxTUsg7VCj/YDjk9RnZu3QpLFGStvYfvqMjOtH64WohXlBcicDC//RcVMxJD7p
-2N1xf/9bBLnardP3h0edRzHVptEJfSSdPDWjvLx9xdvuN3sjqTEIgmWYISBgwFMT
-Pmr5KoV9XKtpLmt7f5WLKbopoWgOEdBsLrZDUpoq5uiY3iE18Rx90PnvWoqNtguq
-Bgs6ONJUnOLrgw6Sdu9YaXWRzxjGcJ+XjhkMuc5bn4Bgl5jAQxZULFyYBDCw041e
-eVBUQfxECpUfp6nMeu1ttuU0640ZIU6NfpqJ5DPVyC60Y78C6s/eonlSlus80Cx5
-9KuSVCV53cdb8jWksKGnTwbz4ChI4FkZ8V0iwH+nKJwSUvFhDGu1DIC65xkarPh4
-PbbKFZfLHiZPnUM/2hBkQsbnBSmn9/NNA8oBuZbLa1zI7SACXqoaHjQGeCUtg98z
-g8My9MQlFxr0/zPaYIwmQ2agyE9prZbJx8njjbaW1gDnpz7EwBh1yC/hfy3Qxh3G
-Jjp2weO5+Pw3ALG5aAPJvoT1O580M/qNRPp4PlxWymexYkFiaB8mqMwt9biA55Y2
-Om5wT5+qyU5X3fvTC0597uEQr4oceZ1OmPEZxj7op9ryH1p8V9yVj2sVwDM5chqe
-ey8ZzENOUrKQEcRqiJt+2md28pZbm3TMYWIy7NKhXmbyOtPwWvXm1Wo1LK19LGHL
-osKYtmjKvm71lLTAy7/OkA+AjvuEyA73AHevutayQbMhB+qO2SQeynyDTEYkOroN
-XJCSlWPjp0zaGsHzFJth+qse910glfNQ++KR/4ixpCX3BoVt+ItZgD+F4WRKlTl1
-qK6+dY9afVZZ9gKBI3rYOlrzQBpvfxQfWxMQNzGG4ZiVKopFaAR2iF+ZRfbTDQ58
-VQPfc7GBj4EEpLBO1E9RpDdpjayoAzVRTcjb90c/EuFtNp2nmiZ8qV7Y+mlDu7iq
-Xrs0ow8xPou1kERUvHoDz+eAYm4B1xiWNVUkiNNOUbNhUVQVZY1Zvtf+y1Ft0vZy
-yn7KAPaf3n6FjodCvIq568Gnuuzza2saTpvYCiwV813kNgPIvCmW8MpV9F/Wmqag
-gZ0ygPEB1drglQmfzcyLSrbkO885F/usyeGb/OuVFENiMWlMZgtN28p/S1pNNW2T
-ma1Y1C6j1LtS+g+Jzry2Zsh7y/IEJTcA+qziNzGRqLgZDEAboCxdgMObDZEMwB+i
-rNk40c4Dul5F/e7AxLJEysKlz860VYGdHkyyxeWhcGXbaXCQ3M0M1S/KoQFwoHEb
-khzMGdbUfGYo6Ku1+DTQaOZqBsjqjdeGtks0wYTTspZNhJany4ViqTLsTJy+e0vk
-tWTmz16QvEfS0lTGTpl/ksi/QOud/ZWSlk3Sjk05iTyaa0WPQ5AVevwtynUw2uEq
-RS//crJ4v+xUqcHUIRpLZIyMfJhpw3QRFRLn+6dxPWIfxIkOxB2wXhGLwjYXmWn0
-j/wXiT8fwdPKCW21tCHhe5BON9Z/fx+kf92wcYDtEbniL/dk7QLb4Gz33Ka3EdbD
-LG6guXl6iqHw2ZJepSu7wGcYinGjESDzBigyUcNqOYynPBv1KV/O+6RZXfPwS+gP
-/OeUW8yXgzocbUEBI84LWJgF6fYp8xdFQ780ydRfa7ECSMvbFAdLQX+YpX43VKZB
-tklTBOEJ2urBwtnXCr4H3z49NCPtJuhuLIcqR01g5xRPy5KUyn7AEKNgZne86p3e
-O96vZNwSEOS1oeDZbWsiqY08bGqPhJmP/tWqfu8k91DCtWEY2jNRpk1UYybq1xzZ
-oFoM6jCQAMpLkPgm7e5znKgf6HNSnn41KbVmo7sSoSj/qqNOgKFZph1EA0f5hEiz
-ClRZlNbvhgME3kMAW2CxZFitgfE8VWaZAbmyyg5h3W5dVqm6vgoLnRTgKO02tAoM
-8gt25HraVb/OsIbJjmgXPmWjc4KmwSM6YwnskmSI6YFNQZ2fW1XFv1DaoavCnCec
-wvVgjirvKU4sDCpot8StVfiA0ykBzkFBnW8hkVuHIfw621nDxzEg3E36W5rl05Yx
-3BfDuWv1pCIOZ1ZoBDU6tL9/YCNG4aKsbO9+Jf+v38233c3brxk4Jwckaow+HN/q
-gOsfuCwubJZViQDP7L7vab9Sopu9n8XnBKaeosMjXqNv6BiijHst/ndvGBaZ+gmm
-V6QRDSD9q7Kamw705GUYaSWwvrj19zDoGEcE9h+P9e7awWfsoIJ199PSeN2SsDEK
-xX9s1fOO7P7veQBkLXu/lPhPdZTbcKU3w+QhExQdkLDZz3oo8JKrIF5/fIZPvb1y
-IWd1i7rmXp5sHzLKyXBCkp4zRb/bCo8FNY9TMjqjGMUs501V4ExqUtb80T6TdkHw
-q6tpvVFA4/iMbD2dWFS0SBXf/mSzYqOJRRL9VPG3FlXFtiK+Xip3ZbjbNbr4Vaog
-LDfCMopSCh4+BoRqrrWhk0oRyzzWNGsRrVDAEUR3HPBRekpUD9ufLhT7/v+wW3G2
-CrYROsdZX7tKkXfS4xL5xPcXtHz2U4/QLP91dEyUf366E77HwziM0YoCzhgGLgBp
-jhEsg/a8bzBWC2mN6kgu+gBLO1WIFCzN/bYygua9nSsI7m+mdaFWzGl4vzhHwT9f
-fRmp8UQKJvrc4kQ8Kos/gVhn+/PESuAdb5v8qTYeNX/FCRFiI/hAHLr1eogJ2/Zj
-OJAFJG2hAIZqJts+njNiAqUPSjWZNO7d7HNNWPuaSNt451kRmq09bF7FCS7VuQZa
-5DKjCUtvp6/E/75OZVGsoaaaT/aETsmCeerJ6KlUdM6dUTI7Wn0T4BAGJGvyIZic
-sFYVRBcfvZL3K5Lu+u3wdiarvjNpIiA4AOQlTWobmq9hmB8cenLBbCTg0TspAaHx
-AV44XSwfRcDudypQT+uPEsTzsCtWkLc5sdTTXkhcXvMLGFJa/mSEXW84ZfNky2Wi
-hf3/7D+tYpK4+aaUNTjVZofkGYwOYfaLrEPLcUDmHijSMp17P+2Q24Iw128dLrND
-2xxLlJtN+7aNmryn8cuKfoiy+XBampT1+DbJjZlv3I7TXEWElM2J27FpTQDUWmL4
-sd0A0ewAVSDAVBTQgwTic+f85Jk9GtSbqTVl0juPbw1Or5s7F2qElS/H9k/LgOlx
-a/cVZM0tEzSMkseKD1zeZoeE9XnrSo03i13S1EgG8Re1EKspDfcPz0mPouDbsLKH
-rwjZwcpr5QABSyICqcrJhfy3kglj7aRmy3hhMJyJcffqdumoX5QTe6xIfxdnrEQt
-v4CM1y7pmmz0k5JrwWXLeRc2yYgzI3QtRs+rwmHvss48VHfD0+SwCKuWLIxqsqNh
-b65BJSrddc4pu/SG3H9tDr/tLxtLhhI5hVr3xGu8mM1a8DfkPfG3xy2orq2XGt1C
-O8P9Nem561BJv7BsiJlnQvdbEkH8VDTTVhu3Rf42M5CvtLl2/J0WwJDL5YtWFOGn
-bbfG7m1levoBL7RNbeo6tgjD99G9N43Dbfc780hybD3OzHy0JrYelshhv5WaCvLn
-KmpUtzKXfxYsRgUKMTmp68mHhdmK1ZMlzOG2TGvv2U/Erc9lMFC29s3AK2qaU478
-8nnN/Jj7jFpNgEOKX7gw4a36TN0URoDIrHdm2qfGZtVAp4fgAEu0vsJ+v+4CIcYj
-FyYCS6t1AUXvMjAqWsd916KOTaJNbh9R2t+ZWhkVj3WmfCg9XGQtc60AJp/mAnOH
-PvNEDDDln7djEn8NOXcNmiPDbXgUQINhkOasnVr8dkS2CgJTc1ahNCZoFP2PvByn
-RxsHmilYzaqfjYO/3Rli5Mb+Euvb8b4VlcM+yx1ruRJqKpqP/bXp3ONHIO9OvwBt
-gC+gn5oE9h2mpmFoFeQu+OB33YXgo5ww+iUyhHaUSzqc10lPjw7gDGJDfcQSus1U
-4lj9NyNxKzyWTvdNBNiJrMt50D3oyUiIidFpzM5kQ09bfUksAaWmlr7v0P61mv5v
-t89737ZFTxwCPq6NXwhXSEugq2/+/f228bMj6Tk+WA9fkO9pbRuDhXhUyhc1Pa2n
-IVbqqY8H8SLI2OKrU7PhzKS8obhrw3yOcTdtGjWwSX7pJzBZYMT1EhH53rDqkXDX
-ZqZnaFzoSeH9c0qp4D/qcE/Rl+n5SR9iXR9BhgQIikobjQZVEbxZ22B4l3B2JuiL
-69EVkr8Pj7070OVfuyrRW3BGBlzrmErdCjIxvK+WNQvGAMh+76k4ZMVmmw5m8gk4
-MttHO8XxQ3dlBytTsVz/bNdo350qlFwi/YMRtJskUfC18mnLEBdm22dznfZQRUgs
-XEKKpBlmwOLjn3YvFPfLM8LHRZS7uIs0YU2q4T9SdHlwMAvw3v4fmXo/dY64i5WO
-nAUx7w1ctGdSyFUJulTPIXqoNvt+brUt2E/D7aazty6cM9TuiS/FeBM1X0hMBdXi
-Bz4UPd9kBiUzZLpAN6W52HNnW3OSOVeTy49+YHbF+n6jA311I8ixTiz9OOFwtreX
-sPeQDiKeO5D4O3CoLGO1qoAM5zxilRkr8usYnZTBGtvXJDP3ZNmvnxrx5Y6ZWos/
-aOTJFJ3Qr6WAE7sRAtB5M6oFmp72LtlQGa4VwINsG5XKD5ixOiGWP55/fo+I1DuJ
-CfSogaOY4XkfUdJFtMfv9h9Fya9fN3bPQDcAKKWFiUqQzLIoe+mpdslFskt54FHU
-WPW3hxMEjdzS8p5DKiGLqBmNBmThUsV8SMMGGhmD9i8F9JSKHEe5iqzqIM+C/di8
-pmOpZJez9C7PCBEMdjNchwf+nzPoirFFgxGcLQYm/h0+/73o4q8c+1JTIuGIFCRs
-E+1Au7V15qmJV3OUvfZgibNRnEDCjBInK+3QXZ3WCi2R5EZ+veUG003iYBif/t0p
-7/wihkQhDMWaG+0Qtexz04PICO2kr/KyS9SQvzOn523g8lC5XztpYSRUpzQV0fVf
-UIeGjf7+kZc0nBAtIsgDtGMdPZ0QN3jkaxV+kIL8XEwJPmNbTSEX5dPYBsSAxKCF
-zH4RoJp0HXY+LLqdyam84Ouv4wwZRdY5SvxGZCPq40PbiG56X/y4/gTalnGmYGwb
-V9j7Fw5bBfQdsE3AKKGszqt2sXWGiebaZs/wk4oHacwTrFwMagOex5EsUggPJoqn
-jjP8xchAZRcm31S3tFf0usg6H+6bQnf9wzoDlU2utgwrtAviLaSuzM/pzssxxQTf
-0jfbokwpAdh6Lwvnw9XsaDd+hN2xweCvriHdZ1c0p+kQ3xaWStArV/2YaFbQxxUx
-vtIwZp/vfJkO20Yg1UtJazgHMVwXrSja2XcV2NhCkXx8Q+Q/WIOmo6jEd4+RJ8og
-XQntsxk91LjnnjE80ZIhHpcTlLxJLqfNaLTvRkG5JiulFMqgtD61IJoTaTi46uq/
-6uiGTRYXmYzkTll4hEiGLadElJJuZ1uSbRtx+P4XETJ1NgK+GmEjJZiZgFIsoN7O
-Pdmhqn0vbBVq3sp8da0ahhosVYxFvANcPKmcw8qKvJuFgldQ25k4HOYbhAWdeDWl
-GBZszkjSWofGI1w4CKg4OrRyFQT5OW6jjfYg1NZCBQAWRkjq0ZOTwPcXh3EmexSZ
-iztH9wDYOnFYq8GVkxUAiW8L0CDVFAwYuYNZHd8d/F/eiLTpQZ13yd/FXESvuCll
-yYJIAY10TYrSdf8aBMcgwpMoqVk1qrenLeAGygqycaO7OGZEAv6J2zUEQ7uqPK/5
-e4er7y4/lIcp1CX6+D5XOsf07yKDro5bO5Y9UFuJLE2a6HXq1oH0Yc2haqU/iHC9
-sY1abWwZbN99l7Z/PC6N/kSmxnziy4wv0KFe9ABx+tJozGEIe0ZRm3Aw3DuaqTSb
-0MCE4zeXfqP5mHdmwhnvok7EOFS6Oj4gAD49FoCka3Bzwvj9DCpjQi6RC7r1e45I
-zWjzlD5SwHJA33zoHoZAs59gXrUbawViVJTup185powueVTmkMVvO+5xsh3Z5Xmq
-47T56YxzI/I4Y+wKt8+GMp4MtSs6kVdtd516X/QSt9C9BTnLsL69S9TGfWN2gCnc
-nhXhtM6+3e5ulgt/GUijrnqdYP3eywRwx9v85+L/CNbkWRdW8u97zI2iPW4VcPO5
-MEvkzakyyaekYRvztOv/kHtM+ESuYylcZ1qd3R14J6GV4jIV/ZsNcu5PvYvtkBxy
-Xi4B/zqJIAtfLD0X/A1ZusX0s6uCmH0jMIoHA0WhU5+fXF0JacrcFeaxEVqLH0K4
-vsHbf5T79cWvUYUAb6t+sPWui4tRtstKnSp/w7V5uQp8YDZ0IUsAKh2mNWrxqLXm
-0GJI2cRKXOEjqV3Pq/R+Tv6yFZVXes8ZLeII8eeIRdg=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch.sv
deleted file mode 100644
index 0f063bedfa79598c28cc4f379c6750c6e459e54f..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch.sv
+++ /dev/null
@@ -1,88 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-lx0W5pz4AeopLdgnFj/l1qDOpz1XHshv2kn3MSSCxLTc0YWgeWVmUELj1m++SsbN
-mRuya0acw6+IJ+NP90k7mvHuLXfOSPuuF40T6EQSgcyCnmJHTPV4x5FLo5/BdvO/
-J9tY+hEkyE1vUrWnCS0bB/fhRTtAzkOyILh4ClUsz6c=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 3648)
-nZ8xn7aaq8d/pkhhy20Sfzp8EsKIRN9QhIIMy81ThgBVhlLoOkcDC8degiib8S3f
-MmcANAtCUjFBcP9hzS6GgQYH+lmBao4TMHffCStWcdLrbsr7GSniAG3YEfVdeEE0
-jHHpjhyxA8quGgDiYMLvpqwMBi1RvI1FhRI3L/X9u+wq/stU62YqxXfkjfw90in5
-SUdvnJaqzthmGRkHgophI7XUmH67YbT0DQ52BflKbLaB5EyS9JnvH7RIP5CiXvBN
-11b7a8pqromSvW6nEy0ACy0wOusB/9oB50CB2GNuJbYguChr3vnamrXRdpCdEEfa
-q+BnZDnyRP1NwZPoreVUlB+4wr2E4bWH34LLil9l4PK/iHgI8gOOo3hh7IwcWSS6
-ViRaynGLffVPQe6QG8dwskWGY47CV4po3Otp8fpHkYIAUMopHylgrgM0sxBeB+uA
-/5y2765l0reCCEn/JCX8cDwZs8UeHAQBi9m3D7dBj5EPI402do9mLR6NOhOwZmfB
-tzsyk5aVaW95aANdT3Fpy3E2hCcJa9svn5GJ6eNzGLkINmGABnTkPVWJyca5VOId
-ScPXrAoUbGe4Sj7T7F23JvchSy4H7RepLHzFXP9OWhtm7FBys7bGQEHL6ykfogg0
-085MLQU2XE0xFM/8WWy01fhMXtmsT9+Q36vul7Mgi3/2os9kagNkxFCYZLkw3Mqx
-fTgS1s2HiMlJnLWId/QsikOL8kt3HMiJAHBTWInXuFW36aTSJEBz8FOsxyCFBbx7
-2bbIobrHNM3i6/5LeVdIZ11lELMVCPy+lJw6v2cAP+I1oZXDjiDqBiuKh5v+eLQK
-9FDqoe52BQHdxu6jObmHljSGVWD8Bmd0wdNM9moHsqzpKVNoUAUyopQmEr6uWwTs
-4/Zhu8Zr9QKelgHb2Pkkyr03+8BTAioXMQpyIdqBrSHbgKDs6Hl+EqhXRoxY4HNi
-01nCszSj5fXu/Fk2GWwBhxM2RaNmzUtN4ntRucc3/zxsRFocq3Qj/J3pwxf1DGti
-s4xE34dLwQ2GDixZViv6gr46E9aORkNkHd0AEXnZQBqAsdIRNh2G3VfKPBj7IjOe
-hrtQIKkOeil9tHv8fTWa/Oxj65XTOVtpNxF/B+q8RoxHdWj5iv6RQGR6uGWduLwn
-C8oNOr+rSv7h14h8EV5wGnl9cdhE1iBM1Xsg79ZvShh+Gkfda2UbxdxNqKmBu3sk
-YmjoH0a/H0E55GuO9s0h5bGIq+2t27ihjN3tj3nkwkVuAbd2dzcq1POn4Wu5R8hB
-fdi9+32goWDbJU221tgbtjwnLMjSxtgR7Ipc1vSzfjcuHgFH3p+5lkn+YQu+8W4S
-FIrfI8yHKN0Bhp1zk1MiAZLmtJdPcnzjTWnYsgHV4TwD916LDBQZQ0yxWnMnrqpL
-Xn57/YpFRVUcVqH7MUYqJdHpcpBeX5nLq3XeWSSS74da+YZqtYAr+J1Hak4qgcK9
-wAbg8467QaGtdGmgfp8Te49e4/J5tNcr6QzGQwrVJ2DR9bt+rVecNC8l0JYpWvtP
-AlG0HJ+yJDWWH/6ces/3uv0RwGdQXEsKA8iQVyM77ZVE2mg7sG1xX8E12pavW24i
-ODpOnPxO7WXCkLaQmNY21RWwvOknxF5VmjBd842TJXtN6hAHGR4hBoW3mnXSwjzg
-d0IJ8/GaLzJz4kHzYVjK3F7P/bBK/nN9a8qt6pyOaSWnH20gh32IXpSKCV0DV1sq
-Q7BEeWbAaRE+MTVW6bhQ0bblOzjjBnZ/gv2VJabbiyXyMN6McuF/PFpw09kuwM+F
-+ZOaj/qbUo9UScgKDrCf88Yudawav/CdOfwCmYlS1c54AdzXm+btJW4cDyQVibWg
-uO24GSfi67j7mRvj+4bSFci/dh5xUbQspRNz+QgnaJX5rMUlUvGESuZd6zpeBAF9
-CHFY8f9guB7XuJx7so4oCQcEVU7mPxBtKw1xZx5FU8KXCxLyXw5ANbRtqsz3pkDF
-lywTcTKJMFhY9SONP4PAsfYMiCtkvYzutigEaKIYf0CO+O7IJp9N6KdP1Mi7NNFJ
-Xu2FtmkIETZYbNVeccCIQ8FxztF3ENgRIAIm+vM8O75kHpnpoIQzHotml7v4XaXn
-t7xcSx16ue94XxtN/5y3l0l+pVdIgYLomv9CbVctxqXg0K+mtXgdW2DPLV4r4eLh
-h/SjuUdveDM3r6dtCyYEreN8VCqU2YGG74bCg2q1YO8FGBsu8MCksZ0dAUoDFMyo
-u8QIRqlbr2uk3Kg0wLpvUpVO74wXsuBJ0nHto37FTp3ioL8c466k9l8NgkoghvFh
-X8iCBqSywEJgIrhpFhrNRp8CXzlICDUkfMLABBkAbIfWRdVwWgHJHtlMfkYNNPJC
-7QsjAWxv0Qs5bNAsELeXYGkJ0lQTqIYZFaZN8omfWo2cY5RFmpDjhZmH4PoEYm37
-xw4ivsQWayz4MdhjVN/cY3jeo+5BHSnudEDzuOVlLxt57jfD1tX+YYaQ6B1tEdCZ
-UuvVxOtoC0XpNEfUG1JX/pp78sLj4tPqsWyVg2mfbeRL9upxIp/3F5vxEYr7y/OV
-rlJ15n4mgaSsxiNM+Orq61ehz06hqoSgngjFCfU+0BlRIpp1Bfsv47nCF1mkw5DN
-Mnjhnz8NNFfOY2QATyOAkkPP6DCLoMwxGdPFVmpwBXo5EnIlHdy/gb9jQ1j+YkNu
-lHUOqniaW9caP+NH7GGoraFMpnzqY079Pj/bqnZCqLKMptaEI7jmz1Q+H2qpFqpq
-x+/gz1H3jSregW2r8/jTuKdV3GI4e3Y41PcOOZUlgVFUbPdNBASARkwbVwevlDuc
-DKQLpnz/VHATjH9L8b5bhU9O3n9yD6eUCthK+QCkzAEYNR0ruuFIMZ/kjIFieovK
-7kuG3xMfp/ZlaiIFIxmSURIgQFKElws9uLCiqFYvWz29qwAexW4BCEd/Hz5X4+5O
-9H97umWLvf0vBkxbVdFHtfcBGX2VP/Pxwq1svCVx7QEY0oYxH/uDsx6ms/9HdaON
-v+8x8VuDK7NxtuY2T8rNzuEg6s8nY6EN4q5YkAghaeQqjq6GOj/F0ujkMaJwyktY
-gOquqvId3jF3/jpy1TU32oUzc7Ga9FnYBk3eGj20bgzZDzE6QNd6d0sbw6Nce/AI
-P5y1kUVC2NM7G8a7lcMoFq9w6MOIEKGnAGoyWqRfGkV78BiYdk17Sh1XyY7zjGkE
-tIsSYIMur9BfL8/zXU+F7e0kPwAJ2qq/ABsUgrNTnZme5GjQDqREfa+fmbQb4hlp
-52hjgFtCbblXwrvjlAky334ZKFGnZGNOzeGnYQMN9TflIK5JNX+FlMETyH7sOIak
-vkndL3kM7gF+DlLuE5HhIYFB2Qz8gyBlMjTstoDvu6pIgmYQwcwOMiOg2St4B44h
-WKXexJwuT6n8UtvRGvGeNWcCF4yQPtksrX3RrcRzQWZNDHWHj3EWjq7QiYr7wuCJ
-wNXQctV8J5c1PF0igDCi37HTeF9z2/dn50AG7yzdeAcQOWLsNsdv6vt8+z7eBKwo
-tagEP8+Ok7Uc8aZpH/8PnNPnBSe6pEMP/BbH9In56Jm7ik5yhlMnLVAr11zOPY+y
-vEsmx2yX+RA/n+L2HrNCWVFhV1qK80eOsKeEtmCldKqYH5qckPK9AjcKmyLfa1/X
-PZuPyi+uRc6ooDFNSuC+Fwk8yPz+b9k7fz1qBMpyWAfI9rkloVHYSqb2aolgrCKJ
-ARe9bjVr6FVmLGxOAUDt3UC0wjj+vw5UG9bism48byRI/0UY+JqmRyRtnv1k4pfr
-UekDbJSN15TuI/dzpmHc/MISK5jvXRMP3sI5pGFtRVbtDnohkeHSp68qs1/U/NYf
-OSMIo3yESEsopsZIl/I2jHcJLmCNCG2kzOhd3cMByRWwZwp/HYx7pHBat8c6wcgQ
-NxrD5+O2+iz6fbL/zLtJwYlfUvhkT6ao2U+9YwQLiXGTjIUxHj5VWznTTi7N4TzQ
-caNbXOdklXZPssdcJbBNZfNlPmUZS4NjVmSv5Is3fmSy64gYXD2K+397NLsyHIgi
-pDl/DG8uphwTUkiWaZlFaOiUDBl40TXUUl+3YyecHFlbI7HhBzeH5cCdqqBrTQl/
-1Nr9KwePH3LwliNfl8s+OGJCyNJ4IHrtFkZ9DFqbymFLpGQuxP3oI+OQbb4fikDE
-qk5vfthSXwUD7aTF9DB0AM/xLnOoKQ5ztLwWuAac14DeQtFnDYzrSOrEqopnuYJf
-UJskIHLiR5l6yz1bqhe4gI2tb8yYtesWSk+GWzuQcpzZcCK+TsOGCnbPScJve3pI
-N+kVLcW54gWBVOYRCGs2NZlT6hKXcJ4SvTQAjvr6JkARhv0ixLtgFDB/MJXF8Uhp
-ovipJ1nCME+VNWq1JjxNRQdwK8Jby2WePdOWyL6gVrkMbKU4rbaUhMGhBE1YI9cU
-IQzAgaCuNt+Va+bMKhCQQjpoDN6+ozGQ8rX6G0bmW2qa6V8QiVFuo9k3Ef2w3psj
-uPGI6v5ic3SgiuL4JwHm//MZVL7pbEeNfooPEScTPLV10zIumy6bP1nlkGr3qn+G
-irUK1MNl4ctScwtUlKrGpEL7j2K115oZigbTCsbDFiS3iopGpShLI1jaVnSaYFTM
-eP0KPgPOXnyPfi2i4cGNcIAzAU5CLhao1hoEuiI93RiFKlMgHMKbsBgHjwFr7eKg
-MLIvvT6Oc5VfYu2d6h1I8vmlWC5QTV3XhsjlFNiwy61XatFUGXgyRbp6gH+sJglG
-L9uFo3Cd4IEj4+1vi2O3Jd/jiLcLT/yGaIGr6uMt0gPKkWdgi7kShJvbDmOhPqWc
-DN0YUhfuFQkq+xEv4RRM4eum+pn1tHbIXcHCPMIQRI9lmyJ4bh1Lt8v3SmrNoG7f
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch_main.sv
deleted file mode 100644
index 8874717e5aa094121f4c5214bcf28929875e2f0e..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch_main.sv
+++ /dev/null
@@ -1,147 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-IJdqqI+rEanjbmhWJ8ewre0p3e53LU0Dq/VwT96TLoSazD3Tdw3eVj7AlPhc13/k
-pMZ+w6dh5AFRC5dtUvmz8x9EvJW3paYdtY1EhMSSEaKcnFLrGukR0ksIBGU1vS9d
-LMC6txkDScXcufjc07XTHqDHHvsuXVVCSmwbK8BMIiw=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 6480)
-bNzICk9ARYr4NC0LNOA8S9MlpSmsszDQZIj9T352xgD4FUWA+blhruhfQDF2Ob4G
-+egcUMH+A7MaXUdu+2k71EG/t+5b1qqPzYWbaJjAkZtljtKVTec3q941pUw5jVJu
-1cYTtUIjH5fDD53l8YC6PaB+ogB493PtlyrMLehNQ37G83uGGAajPwfdJuSzNbPz
-ix5Sk3XBXQGcG84alFzZMEeqQNjKPpEi60pUMAmXDYk60d6HyGdqE40CGhCXt/q6
-DGgUCUGhR2wAhLn5qpiBrbxd1bTXV2VegXrjDMnUmaf09hiCWsRpKdxvKEkCXV/d
-rRgTdtowwwt5mJfyhiSw+A2xCd5LCYKQSRyKGuduELYR3pjSEu3enLR+3yx8Akg7
-pG26L01Y5Ooe+iLOQflEIy94f21OkZ2FoUJr2rcFZ4ziUshO48fzltm3nqwGWAaQ
-1BoYr64IZuY2Mxrlt2T1eV4FEti/5Y2pCqqdZ3hn20gu/K+4dSss7yQlNC3N3a60
-JV5UsNlFGf2m+GFz/58IK3Ohe6LURUAPl6Vm0MhHIyRkCKdyiWudxcQkyCG/mXVh
-3r31fVBF1bebVDp29sdjy04orkcrdbEMLIYi1hg1L1pDPWaTJl3rj8ZPBtcHC8b9
-TdRlmHGYhM9SjgcDeBvLRe2Jjrgi2h4k0fg/vtBRaHxBq/VGD/cMx3g3I91L1b3f
-CXgvN56WfYcuFq+pL5a+/4SCK9+SJKl1wfz6MKBIae2C9vQB+l00EUHpI60rd1vR
-MpVsDL4gcdbf7dxyNi6ecHCOo8Ur0QEgi17WLVZHKgL08wVJsoosOFr+gITQ0ed1
-/zisy4Xagktnq1HXEK+fvAxjeKAEEclZK7EqviHDkhzzoOHd1zU43UvoCxL+GxyE
-twuZ5rwI1dS0/1q8VUrAQ/u7qiH7qXtQta7Hl6yApw3DhICynCAkIQCRiD5W1R3+
-C6jsXKYnL0K+lBzLqrHdyaY3hgTmFW3ewT53F7hceMLhNNM40pfweo9vFPAOmM5N
-rPJ82SxCzE1W5x52Hg5SsFC3N9SGklutY+Qdxb3EqY3AuXVvzQ24YwqPdOMvJSET
-a0T0ibogyACey33MMh9h8CQSR4XGYbZtgw++fm4XeMLmyLUjVzJt+6CnSc1pumRx
-Uo1gan6iolF0gu8LC3FORActWkCO1QxdrAx3OpCy33xqrMHQKa4nGI6jxkaub2c+
-h7RU7vfo+R6CiquFbJa5KhnnmFgt3u91ZDuohfOuVDBrUH+joKaduASXFhOFOFNI
-s4faj5rGQbmuLiT3Ovq9mNu1KPz1kvhAF4sCOgon20fdHpv8ZGPcRgi0KSbo7bTG
-L8pDibbxWLJ1y3mYUSrqEWurYvQI6FT/pDlVYvJUQVnGe9NY7yoyF1SWfS2ugPlS
-be+7Un6Aseyrm55sjUUqgpsQhq5Gk+GGb6bbeY5KQj+9slp9nYxD2w83+ADHHCd2
-oPHAnDx/QaIeyCOlO74xc7ByFc8hy6e7SOrXHtfzx+rfeDRHyq/I2kdkKLg7qXRO
-5ZyyLVH9IDgQc6eViM1b5NzAwgmpXkq0NX0vDa3r9JeS1a4l74YYXWiftQYnRvOH
-YS9iSRyKGvV7C3VwZYfDckJS4I/OXI0v+zi1kQ0JyUOMJ4lMthBctV8ywlK7w9ju
-AwbDz28IuJJyx/YHBOuy1qguafmzwKcLYJzVmNEWI6GduuLzCJGS9v4QlTzw7d5/
-c1JrWqFrfq72EqK727CJbc4CnA9qKa1GNM2FdDbZt1RcjQNchP/rFalqTUmcWLu+
-KfqefLjQcHrlzTEZ29gqOK4Z4E6SZJmLXAHJ9ykuxCcqDZE7bu3O6Tp5rNqtAe59
-phfecx5hs3i9UZ7su+2vwYtrm+pMwEm8B5rYzLLvqMNB/G86xuP8bieIBNbHKhaj
-gzru4GAz55nOsS0l22J6Bonr3iPJ+84h19410Lf3uISVLAt4j882gMeMtliuo20D
-Z85vmnyhGmfgtLk8Ig5y3Owsvb1FoLkwVaiYsCJE/c5O2xtFL/a53hlrDf5Lub8M
-gMX6evpx3YvYgjCm8xQwfLGmIEU29VpZxdVPhtV7tFykrTU3y97F+sQtv5GE55ju
-2Bt5Mdi80mj969jeMt2USZcB6InvzxxzTE8Tx7BYzu/N1zUnLDRqt3TKg295EMwr
-XR5En81L4u+BYjne8y26DeNiXdOsUOd5+MngjesqHcOK8s59l7juvZlO7L0jw9SC
-BcLAAWgiBGg7I+L6zBjE6+qEzVKF+1w+T37kf1NgbyjIpEKUGi/lBaJ0r1HUn783
-WIWMSkyFwGdS5y1tKMFvdkQ/TBFCANuOxOjvFXRP1BLM3H7hDrxDKbIx0xVa0/aA
-YjWwhOEMqvtGdvqB5DVYCi+/43j94o/eDRh7E0parMezHRXeTXaWMSaVz+MXEWMe
-Xs5xy8RFvlfFpIRhVqXyEVa4FOH6qCW+YzlrLvey+FuP8oX+o3aIxufg87YFyKpi
-0ydE5N/gPeyZIyD1RS+QxWG/ITvQrzgnrcrTCJhzNWzaKsJswp4syB5gn5D3lURx
-QzdSbhYBMelmRypTztBRh3hKNU5ZlsRqyAbMzUtP9FO5NIl3FWgKDOHBIPuImX54
-0NVTWPW7MyDhnublgxWC670Cs0L+2W1ein6yE5xg24AuqZhG/K+Sy0TEce+0MMse
-LEyaDkh91X8eJ4OhedViiL9BkIsz62O2Z4zFIG5EsGWA21tNtwoMornERYlgbB7C
-2Z8TI8laPm27aGbjT9HgXxpKrd+HIes1/CXB/TyqSMqnVgmJblvcfOXH2Pom6h+O
-PVLr1yQArJO9zN7IHr8Z+fBV27EghSMKstHYCSLv7glH1uTtGvDxddyRWphE2mVD
-NoNg7nSzIuO6IPg7brP07rsBZ0MPOvmsgezIFDqGTBZ2Qbj6hVNHml7/xaR0/LYm
-LNlcg0cQN2joAJXE21mJtF+PkH75G/3Ge8uuxriUsojRCuZlFEbGFsw8nDeas6rE
-pwLG0TV2jC9E/aVqpGiK+Y33XtYz6qBMZSDcuthWIAeM4TO2QnbxLkGDsfbt9JGP
-l7zZyTnHU/LFRG65+Rym0OxcQWkVuz7EeJfXEhhHlcg2JamCep6Y1DdUx0/OTQvq
-67qIengmcnqPGwqHcLbfDW4/13cmVohMCj33Y4VR8eLzUWKQeIx3c9qeFt72MpYK
-v5HqDTCRfr8Jq3wZqNKB/HATkatAWbybkCjN1pEMJmBYLPoguuD4jtPgp9IRKt+5
-xOxQqA6ig69zcIMWmRdGyEfBl2Uf/q2XwOqa6Oh583kjppwOkrPasPEUe13AEiIY
-z9TrGlRquRuHUN3+xpd1DKUZS6Uc8pGe8F2Tb/b1GXCtaqr633gUWKy/LJeD1BdC
-bDCpmGk+E7FoC1iA061itLKbAn6jkGvK3t8zXSHGLoNAfKm1l1XBhz44gsrU+cD0
-M83x9RTkY4VTE8EdRB3fKi/1aDic5Y1hKl1so2VZ/DoiAkxRzTE3qumxnzmK4/AW
-S6uSTSp8FnFYuTmg/U5REZDXgJuqNTpLwxHriaO2SaLAvmLNypHGrsHasaZ9Orsj
-J34tV/4crka7y+28zEuWLA8LnlDUKOUNT+utToX773DJMqnU0Qp1g50ybe0ahZyz
-S9qMmpefP4SyBXqTxk/Fl1nnwCTPHmN8HrzjedSo7ot5j6Nks/8z5km7gu6brvWY
-j9JEQ2xuCI4M2Aw3x7irt+l4KGIlkYvAGS7gM2CpHBBl36LoIucw3cl8EgT305yA
-LWMI0O1Ys6ei918nqop1l/A6WFtdskeHHNWl07QW5hflb1LSENy4Lx9Al6AiBfkL
-ugDhG4X6hwaPoRWdgweBvOocrKlWRbhvpYNkb4bp30gD9VmhxZ2yl9XDm9RQYwZ1
-WS+BflR3wY0QqiBWhqqJbxrjkJCgV6vst6yrRlga6hgh8b+skLiuKmP9TBZtesFR
-ZzT77tpCHZpkfSsJC8XBID9EyI/MvLWJ98spBQzTJKWM1oe0Ks1zUH3okD8Vdlme
-DcnlZBNBO5N72I3SKtEmk8uOVEcB4YnS+VAA6Ab3iZ5XKA/fCQBzH/dGOyXQuu16
-etgga5hduW1GC4RxuzIkpwrlOSSf/AjCI37ETsOlbdRRgLJw6WMr3ltwoowlV48R
-mo4D3otB3F3BKWYtDISXVprJatvLJB/2SBtCNydI3Ij22AXELu+sIcXPG2RtkvyB
-iDDR03LpviJs9DnzVle6fBzyUWnJrql+9hUclXMcN6qpO9Tnr7vS90jasjIHrW8B
-QsCBpBQBJ8yAAr9RbrV5uznpQj+sPgffKXJ9XKOzsHSCHA28SQ7RDwXeAqYKY6Yp
-IOe4ihqMYi+2OBTwNp0RapTBVioUi4yPyPgAcRGYgvXqq4WgRW4Se4uYeyppHssb
-JiakjW/HFWw4R1cwMxw0WY0PTapdxLSsgLIliVy7bEr4cXxjCAWAUhPLml3tKZOa
-oMxYacmVy0KkRAMhPLiQpFYTlkfv9gXS+hU3nulQ8iFUms94ujuwrQE4ifdiYW+D
-TmrmWJzIYiexQ3sqG2c7gk+eWNYtlZUKCIz+zIIgLWujRFqXfG5ypJa7l+jBdg9r
-5QPx0dvOM1s0xcXI4VCkODavt1FJ+OUdHUYd9gBxILNGGBOjKQQNnhmZraRb2u0V
-ank71A5K47dfQ66Za9iYMG8Nh6TkfPd2Fkeeioju6wvLPU2ZYIEeGvamC47RwOId
-jhFFwNo2m3gYxxDl3Fd4bwmjHnBAlHVsje2LSP0uKtFTBxSBVknG1qfwBzzvJVmH
-B29rBVXEX+jusSubtuOxXkFdiM3f4XBZgF3R6ARTI9E0UVMcZq5hLlE3koJxf8uJ
-lBqsEWOKbjGkpOD56tfvPg4VV4bXFIzKJ+uE5vg9xV2y4wUPH5/inQdchNikfHiW
-9zYGkEqK4BemipfElrmYkBlvVlFTOhHxXp8Yi3mAoFKyG2c+GTa/F9TmdmnyIhG1
-sStK7sWnffTu3dtZP0WiFGM67iXPBtEF48jV4bk8GRIgmZ+04uldU172JeuBSJSc
-jWGfV6mLszliHMjlO5Gjd6jdlDuRII+8lRc2no9G8/x3bRBImhTuMfjdbVslKirn
-nZmaW73Q4nhLm8i7xlTwRPifQyRgbdKBvszODR+Fij3nREm4fs9Czi16KT6CTgdL
-lOQcau1deTyLFPHpFpxnJDPW76Ki/g7hQHTXgBB1isxFgw/GjEi4zmSoLgylVgwk
-xwhYLdJ2RrJe+NbEyHMsN5m/vSw6sasDxSfRBawqIeMKn+dw+SpyXaopoi1C6jAh
-92qPnDQDAI5ziy3gL9fbBaqf0tcnn9M+2OJQ+IBpjEyn+UtKRhvPHIs+m3VvzPfY
-uHg0Z7m4F5gX8TiwJiJFqiwnJCDaJe3q6pIpwD+buUnLfcxoO2zFkE3G+acSUSs9
-FkfKgBHkhAUSSSLhJ2ojCe/6hcY9QNn4s7MfPu7UZIREiYGA2yDGqXE3JfG3TQmh
-Avy7TYN7xE3GthDycBTE4Apdjsc3iVO3hEQ27iGwcw8OQ0aBzDhFJnwAIwKy/hst
-3bzqjowX5XEnoxcmOMix59Uue+vMGX2wYwGgReBRUGIf9r8Hdh39YBLFBjJYotzT
-LE+GWqRw973HBxz4ebYBZzqK3iSaBX3QYKajTZcVGVrG1T7am3hSMBISM9TmEcuo
-haSV+/shzOmnzduoGS2IQxhTyfkT/WkVKfJ7nj+JlOY3yZvfKy9Uu2Qd7aT1jkCf
-E3UHYcXL8FLYfn92D+wlH/PCfjZZfqBB8LpR3laYY3qqD4s88ML/proAPlrMm/9E
-cg+C5k3JzIs+Rwr16O+WJ1Gfxx3FpzJcMahCmkB9CrourTEDIEkHgJb+sn/yvr8X
-CL+NBlxpQquZ1USAN4/ibDQO/er3lSLMXkOsxirkh3D/aza+aqpBWsf2S/7pDdxg
-YClkqOi28V73TaWngT4TMvQdbVJSbh9M8w1a6mVzKRs1QunWN01rIyrTWxiMfPFZ
-sazL0jikbuJPvd1CeflyIMAY0+N/mQFn8T1NGpZN7AepCKxtLUPgzwmcMA9ZuHP6
-IA2T26xiVGfGtwQCu/2igo6uBlxQkCP4HRtr31MTRsj8ytSyy18W8+wXiMeHjjiU
-+3gPPVVbePaGq+nmbsedWnWzlkRe7UAAalRyugSy/+V58yq4tyl0bBumtgfMzxNy
-J6jZBv9fgdH94bXIHE0ZCjpmgZMMj4+dL/++vwfr7WKhBZDvZc6ZnUIQGsGXnK1x
-kwjX7G21pT64qsoTYSpntLCm/2J83Mcj4b/7c7JG/b/7lVvxv8e9l4SCXXxg3QGj
-xS2TvsCDT4LGbahtozYcExRte8Ntf3eFXrctYW1MGJOff+3AYlf3D0LDUgwwQv6y
-L5qtvGH/vR7C1Ya8AgxfUHG4f1RUvRRxPvtnGLx8w9uz3idrCPeel/BHVXMvDeZH
-VtDQHY7Y5/MtGJVnCS0kc7c0uZIG0RPnFAAPiYoba1JMF2ab6HdQpcP1mKtZYTry
-GqvZSzN3fc4CbLXHzS4s6cNcADNOi40Bvh8n1Jho2JBPgl9O0Pj/kkopVNUJaa/5
-DV08SYirouBCT6mECte6oi9Fyxe8FMPw73B2MVKusTqMPbwKnFTHOy71UzM+Frjq
-gPkLvob+noLRRNna7MUqsr6dyDAZ/ajIQ10sp1ljP4f7W3KLoXshFCE74ZW4moyg
-mXcHWPfc69q4a+2yQw3FkCYANRFqoMq8fYw3HowkL0ndr/+t8LvaWS20SgmlWd1h
-VPK4a2X7S/ZAMe0D/mD9nnSfx3y6lNWQCP4AzrEYeNAUGA0BlMfjoXVNK12utEUQ
-dS3K8zhhPQ95zoaZI05Dl4Y/0XoAguoLeleNqRNNiCVbJeldDgD9VkgfUUYg78OV
-JlpgjfnR47HK90csiOhGN/Vdn3XBSMFq8Dfv4Vw1nwW87h+30EhKC6oqvRhiX/p9
-lI+5DWRTEr10NTC990imjErOJoflxqnjj9DF/6KlV28CsY2Ex+vtm81LYYNjt7c0
-rJV4AHG0gpBlH9GIwXy8Ja5eHWZhs1OelMBIYillYQICVMN/1tbBLtKXqDGLcKaN
-X3hGm6K+svkHF0/2FcfOYJo2eP6uN+Gz3zgPFOhrzVtPpXfbgKWRkC0FlO7bZZNW
-MksBHwIr0tGo4Om4zm5iF4pWuUXGZPKtxuwYEIl2VPN8Sc3FHBa9XH5QrQV8QnGo
-Gv+qRKsr+IhTiziP4AaAPjoUHVoc1Gzw2fl7t5Jh6PyhypF/yDQpNDMR6HAhyoBg
-WkrfsBDGNBmQ5gJ3Yj4Rp9YVyut38r5FilBfOYIfAxAe3t26Eqe2i5gKK7giCM6P
-ie2xPVVJIpFqVR19UjixlfAvhJ81IiYQWS+S+gc87yvYT+YLmzaoKyt7v4yGqEgO
-C2LQQrBVQWsh3BcSGYtLpOC4opdwSqsySk15B1+znFrCjmosal1pm1rIjrXg7Q1X
-xTfmGf0mowa7USQJi518ALxw+QF0ry/2peiBnA1O/rc2oUaQKHd27EZ1U++pbwOm
-CoXqCKnIF0EQMYyiB+9r7UHpS/Dz1s2PNuDVksqcwQ2E2Iv7jfHU8WR4l+sKVOpK
-Qln3+q9qWVgK2dqPam0Y9NlGsSzHCzHzmP3ZSD44Gb9tRiwv0xWv9mss8vAll/9v
-nJLQupzig1C1FUObGsxttLp2dnFFZ92nCHnnMmhWNagyvInFFtOj95Ilgdelum9d
-+88bb2GbOPvtq8jaAbGvgVwTT+xXtsBX/91F4FRpEnZDpKFy5oMtDIvuOEUsNxo+
-xyWurLeMuS5vWNdKsJdplkNRQuMCkVGJA2dBONeQ+4BkIrkgjsZVgXtM8Ke95hf7
-M2xc+beK49QUEt5cLrSl0w8BRHKmx7rJdlhLg0670h1IW60uf8d24uVWi6IiXkQD
-53W1ri5+jwKjqp/cnNwAKuocf7vimdDMx9Cy7ZpWxu2KmbQi60ey71HynRf9AY5O
-3+UzlMWVkNrR2kkSqtYRCP9Meue7bDrCPvcyTwhsgMA5nemaW735I2yhwQz9wzNs
-0HCPYK2xVv/8U0X3NzpFe2G4FowFN0HeFCkPvaAfZ1QF7SRyDzzPl2HE8jelqhQQ
-dE6wPCXB95doJM3e0+hUqWwnZtIAWObK8eNmUcDwEckrroZTK1BR2/Jc/eS4QnPM
-aqEEzT/wYXjbIVIciP+Rj/D9jSQOvVCov/VEnrSqj9mTSfXykKyF3B79k6V88mNk
-uI3LL1J73PMLyj2mtnWRBOrbgQFXuaKFQxIiOiWjZ6vDdBqjCUdJIxVff3gDKLxf
-Dvglf2HErKOh0w3GvaExky+LP6VKzkQibTTCqhI7muYgRi15Gm/k/FYcj4l01QHu
-pD3wAlMDBIinoEUYSOSdWFI4ZU5wOtYRQCG9l8NGco9sQdxmz+79VM9yqlECbaT5
-0er2Htss0I4o9xa1TnYQIyAiogG9830oCi4vI238Cq7s3BytMeoWBwuBnevcdk+m
-2Iys3Nng7wIP0uux6BKVJ4JudRbAGuVNnjJluXeGbChnhYsdzso3MHEZUN5TEN/C
-0HHpmFJLW8zxxheQfZpZeZ5UxIeruXIlgfS1T0MRq9w9+e4PiqN5pW1TEMMnshOD
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_dlycntr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_dlycntr.sv
deleted file mode 100644
index b3793068b5435244b94f8961094831800db65307..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_dlycntr.sv
+++ /dev/null
@@ -1,60 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-Z3sJuyZ5AsnP2E6McI6y1iMlgvKPgbBRc0lzUwDDTzp3Zovknd8ENqiJMQ67NqEa
-g5sZFCRzWaOHybpe2bG3pLZDAkQp7pCmsGl//dxV2jQUI/jD2o4Qd6O3Rc5qxASi
-Q1KY60lXcRKD9Y6/0davGF0zRZ10uG5YYfMWgvmydjY=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 2272)
-84WUkaae6BPM5WIN88ABVqEVVEOUsZ2KKt0A8HrCgcqb12n0vcO5psNd/Q/ynlEv
-HzqJiqhldFE+AtlD3g4nZBGWhq8I0GbnumrdJVbfO/dy7xFmgmwcjZCKy8kkkwaB
-rPa0keq7XgU9hadMvSqvl4DbSIRU/mK3qttxegag//Oz1gH8KRMLs6zR05M9+Xbv
-ckC1gdVPsuc9Iy7S15opw5D25qA8Z55clSKuKnUx4OOVGKCRwflZyB4WGZ9idVnp
-pLPw67CtlRsdb/XsINT+BTX/m3rN2snHv2Zhwczm82gtxLdx6c1KpadaPbI9LzFk
-ZiUkqAKQgEyaoYp8iTVCS1WPSeT36dQg7scC0sCJGUsBDKIy04/zNraxoBMXu+Xr
-KuxKpkV+e6le8NOF2avEY+48qyioxZFkW6h9pCMZ/rOXEXvChlvxpL4RcBAaqLBZ
-zIruea+77g5kEJgtZXKU74qKcdY+PIQa+0ItQHKPqchLlY+37IjYvOltwFvebll9
-vKqNHjYNaUtnWIu3OazBFWFwCH4ME3QO+UDBFsMxk3qiM1NFioObTGHOIhPXfRvv
-xxwUFier3Xs99Cndmpg7JArwsrHisoXFveOwGRYXPkSn7B7qomEThiMIdqm81ikf
-U1qvNqY+jNYMcvW9qBkh/COFYjmZq9r/+9OeT0/k6V7TooFDSbvpP1KsFfVaJ+eW
-eryBE7qXHES+BvJVMgy0b8obnsK7EA3CXZ3IterbJpsjMaV+P5BU5kf6bUSpofI2
-HLu7ZIkOHGvN1RN4BjSLtNwnrduL87EBSewlXyG9roW5k6LwytOG0BuRIhSKaGke
-PCJ2c9/8ATMfRYAt1K36E5FkwhVMeiyrlD97B1EfpOan4IE5SyiXNALd8yoD9aKh
-zte4QrIWVoZV5qWdeRISdQr/yLNetkfXn1e3P1vBndE2J0JdFAZxJDhSPtafFaXA
-Oi5El60Zz6c30ptqF1E//Mc9Ia/mKJfAeEH6QaS9K0JykjP2v0tkKxTHNjLXk/TT
-qIw2JXZnMB6WSmxOMQTaEDlSVroqFANs+9KI0xwdTMkbdaPzpmwxtu0kKRC0yVkk
-R+sajd8LCKsyM5DYpUV+shHp7mtNoCHkmQpqaNrdaN+bdymL4vio62LP2jACH+lp
-9iZs746UWdJk8tDTVs9J0HMZSFIrcVtN+cwm9lDO5I1pN8ioRTQms9pc6yNP0fpx
-xMwb9l/Hp9y040J1iOsB+TDpuBDNTQ3sGccJdg47IN4LHeQqhwEeBx3omouQl++R
-NoyUPlf6KNvSkuP8BTSnV2YornrCRy8k1LlqkVziYnzr04NNYnHDbnv45yciAQxQ
-HFuEnTpVh4y4CbKF7+pxIT1sC4B07bKr1Wef+Vn7dnb474I+JFy+hEB4iqVyJ0bH
-nWB486Aplwggf1S7o/o8skPa5cVPXQR654kKJ1ThFzyUV5IhN+B7fW9/e2e1ytHV
-R560ebzvToH4bHn/tdGb+5jxVJMCKz65IiKkK/M8K4zME8wY02b70f5/g16q9H41
-pyhcokbkwcrnrRHD9BibmCk6VzhSmFuZtGih8FKKh1b0Kee8wzJRRvkS0wfcnpmI
-4uxbfCNlF0vuHksH+IC2Xv2BLOPul4RhrNU77m9qv/SSxgDnazlR7IgsA88fB315
-HX2r+no1I4UJ9AUuLYPD33CTt6wcfSIuOxmecmbJCwPLBn4jcSFmZ9sQlp0aWkcs
-ym5oY2TSLZj8Q5n5sW/LHhWQ02E+cXm7iWVeb+l26Ya4FmRFhPXSPxfCYzyfITPd
-d184vAUJlw+UiA3tW63Hz9AjdCDQ/A+hT43FZPfG2+2f8fpRbunIW+yPOk1rppEH
-d7zeU+gY3G4aZvnTTq3kkFKZcQpRHJ9mVx6MP031aGXOPixtNOzrQkhvfBiUNvjp
-mUN1j2z5BC61TRXSgjI/U9HRG+dpERDBvmrWiuUte9HUnQSA5WhoD39+pf1y1PAA
-I84Z6F6K5dIU0Gg1MVmp4NtchM03BeRdowCPylAtxvO9XgO85+ggqfqQMGWA2m+t
-AFRWwQDfwc09jFDH0QgnhXt+8x55G9xcznOWX66W1AvZgT5cAGonR87M5zC6Ntl5
-bRKiqlP3wezBbOpfIQYxhRuR+exGfPjWYtapwgOyeAXxswoNOBA7hIR+OY6tnIW4
-4ug32u7kTWkPycIW+8yK3td9AtL7Qmf3EWW2MPhAWn+XnCkPMSWkLt8RnlyquQua
-8ZoJgHA8CMKssSQUyXa0MBOTRbfdZ34wsoPSxlcmNlKMdT58Sv090pzGFLdmKZAl
-V81T4tA/xf45V6NfO19YtgfTjMJjwj1gHT0No6CHdCNAsn9ZLrTm43RwbT9NG0Nz
-Q7K9oet7a7XSJdaZaNUv95opipJCxZvHq1alRxBtiABetXEEsgVW3uFRxFBYxBj4
-lmHZrRGU+djc9KWn64K/eRXjFdD5uCBgenBbS+i/0nzoE+JnhroJQLCem7RR7IHR
-W4DlC4rFY9g1l7KVGybZTQDLIL6gVyeOTml53eF3YpdrSI79i1S2S2cx/YoNt5qH
-zOKabktxOkZy0iJyN/+nfWsir8XhxT8J4IJfCxABTUqwweN7/VBcQW3uqQAoeeJz
-/px8FJUru3sd4Yhz07DDbGrZT9Ci4mPqzC6CpmZR2NW3bGyz0bgEOxDrJUeEhWAh
-EIh5kAtq6h30fMiT/FxkOxP8WawQyTrVzBhV9OXffxyrB0jcv9Ui8gMfuj5RYpRM
-j2RuRdCkVjhRXowf/gRiISrWyPSdu7VGJpWlSB+31xlO/8uNbbB6Oah0uVvgFdc8
-6TXUrkaLjgdL+wOq/18FiVmvU4LdYIOrPSlZ1Eusv1VUrnz7m3OXErs/QVKci13S
-zY76//+ag4N04H3F0KvoCEYePlL98M1MnDPTCdGDfI7WI54RB5QCxGL63aZMKr/z
-MgzcbLz9VzK74OufRbErfEikmNa4+zbMZfFJgscxv3UkzC4VG0nUoLSnYiTp8oBT
-W6geq+/y9bXgSbp4D9l30Q==
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_main.sv
deleted file mode 100644
index da55d412531a600dba79f6e4c889d53e9a120d9f..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_main.sv
+++ /dev/null
@@ -1,172 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-eX3PVnJ1HQcLLVm5p4P97kduvoV9iYykf6cX/6Lr9xOUWHm6Id2g/D+e+NjnEMK+
-UiEOe5mGTWCdBcl3d9WNNZhvHb37ULGzPcx+LIhLAeoex6i7EQ/QMHV3eLgh7nqs
-WG533OcgUL4dlD3ZxQVKgJrYagIFxOx8MY9J5lCHUI0=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 7648)
-yLYOJN+z4jYcqAmnVKYbaswDwttNL4pfRKXCJnPndwMpuBA2A6bNZN2FwBkHE+m9
-dKHLDXFyS26+hNvFDARaE9xB0XLWg1q9qdvQTKtUTn6osSpej2nNwi7T3eSL8Cr+
-qMlxZm30Ccn4CO+fXeRBgV2lohc1XlbuwGT7SirGJubLY8xfjnN+j9OtmjzMTnZA
-xOo4gBSNYb37wJlegiHcHTsXtD+bFrHnCyarutjISkyVY4YGTMZn5phUc7UR1/X4
-Q1yF+pajmeCEEF3Pw3kzikfAnfi9RIPZEB4lcNB9rcLDcMbjmkDGTiVJa7xZY9m3
-XVXolNWNae0PoUB4/AlJ1WClncb43FoKUsuWJJ4e7gDqpk0g/uqNitGiNzTjQ3pQ
-GiUe1d8OrmeKg5KaMIycv5jAWXiCwpXdj72sNhW5Ii1NRk08vGbYJb31J5ghvedT
-SRwRRk9d0vZIxNV0TLnXBVuMbtPKJbE6MqiKGMtSqeDANT0EjH6cpyti12gM47Kh
-NQ3PRQQwVQfsAo48ltn5TZq/siC5qE0RSfJkRQJP+bxlkbrYaO7rLrZZh14MhtgJ
-Td3CnN8n5UH6C3Xp6aaW/pzbEUlZs4l8Hz/u1NDr9B3mykUXtkEQfdyjdPL98rdN
-5E93PUefniU64Debz0rRcK5tnGqnQqo69n+lFMkHRsg7lNNWMgk+hV4z3siAm77N
-CSPqszeR2iYqPd5CvRHP2Jdh38UX8OINrdbRV7dG8I+HSu9uiwcbWCchVOsfDfzh
-qGhHgkqkTSOycGKcLvfxdPnA8Kd+9mOaPhenAav881vnAqk3gWKDhoLa6zG2eZ99
-tTYJ+vTD06TinU75FzL2v5dGWRs3YJnryUQaoK7YO8hWNaJyU2gKSqw6JhuSLnBY
-CdDzKQLT2gZYpEEpv+NdVJ9JqrrJv1vfY7h2SCpwP2YfvOKewdFc55F/0wc54Jjw
-cbb6id/YR2Uj0bkd2/OM8IuLVqgr48LKRX+dJHqyZTvHnQ+RfGl+xEDbZreZfGtX
-rug2nTsWW4QHHuH1lxIEY7GRzqpU+m853a9DB4gFU7Lt75tpcsCYoR0f9jFlSZ8v
-M0myYTIUbVk2aVndyUjMnMpZIhdKj6AaRhd7by6jESwljtGj+dSYsXbyPxoLZUy5
-0oc+/Dwf/OzLY+gygxJRLzMnlLDYn2IhbETcMc+HrZU0ISKPhbbxf40ltvPTv7Aa
-1llSN+CAeZ5riTr/ovnyX6y6/6fXgRfCsgrNGdocYjqmR17C1Vcmc3b8+nEgUuBx
-qD4PV5yxBfOtelycedJiLCL1Zzl9pm4T/itRbaVTgjLLm/OPUcv3aZwBSgXqAOqO
-2VE9sOnXzFQ/QoMLFmlEitinLw0pEN8s/DCKzwpz1nXRCIvVCf2L4feeH4g5PE2x
-1rl3pRlcd+/Rvcf9Ngvi/rLWXRlGwsqgjEYyGOlP3paFzjYsM1UeGl6cdSn3mEfx
-Xu8tI2Y8vn71mUF9RQvhGmbmefykvm3p2vmq7ozP0MhArt4LCmR4ScfW4i9M4BBR
-PlKKPq/Bb869UL5T+wvAh6yTkqryG4LcyLq5ShovukWOYMxhSjMl9KEgkAbEL0Y6
-SusdPRX/nM4IchB+VL2Ya2V4nr8BJxOSdsXdzr3/8bhrUquzUUmqbfcJn12a68Cb
-aukinDlD0JlCS/8BK/6JaPrg6QlIIbDZeoQHuY5Aigk7s+kFQ4lTVyW/Ru+75OGI
-zGxuKHh2kFZK5Gah9MYm3eQq70rflwcVNyUrigGC8qPp2Mg7CmEs4oo/ri5A1oyo
-OjD325iquAZTuQtYbnWU57BzT/mt+2mLoSyGa2d92ixpNvkEt39uMoVe6fkAMw8L
-ttEg8ttuvPReYHsWSpFf87tn1hlxwYDlB+0fFwJz1LHCIlQdMxmrk5gv4t8ux3Ff
-wq34/vDDtVgvKy/87mSz6tobUE/WotZEq+wlZkei6X5HaSLRT2ne9uhqm5N5Ul2D
-0HBC/1pGDaqlo3zHh1hxf/BUGxVf6Urfx32eVbmq6rqOPA4CMiUbNbd0gqrbi23g
-kSg/J30IoV87oHecjDej6UUMtzTmk86axeaRmgd79mijLt0670TU45cLPBBdumiP
-l2et/kMDEjCiYygR/kyRwWIa/CfO4wzy6oUFx6EBZA+OOfV0u6KQmMx9Ut8B44mL
-pfSJ5lOTsN67daIdjy5GqB+VJI8bgsIBnxBXXIDfH1r4YyU4H4J5djZM1r01ZgiW
-aMQAcDR/gwQcvdeX/h2EMBGshrBDOoYAnok1Kg4yfrMt+dVCluOJZ8GHZ//2io0H
-LNfVr3Xeq3+T89wBz639U6A1+Uu6IQxsRu9JpWGP9rQn+lyYJQfecjtJi65BQP4K
-nzlyrg4yaPc+O3tTyWpdSLRuEr4zAL78rfkOrGi05dxfLlyEWQIUv2Wm0gK85G8Z
-mqRWuMPHZApo13pZSZMvuGIw9and6OGHiDcMEcrGfvwB46sIgXu54pJJgq+P8KKg
-9lTyUgLE/W6syXGqbN2+aLgmwHF8IUNSmmERqg+L/2UuB9WsXFNvKrucd66JT6gW
-YiSDrSzLbfJmEUlQkx/VUPfYBX/d0N9/baXYjeP8YLK6k/xjHFpIz49X8a7mAtaW
-XjqhwjLI6r/4Acuiygk4FH2LwnRqoR6zVEjfcKoNRAs84UFqmJFskHJLx7T6RZTz
-AUOA34+2pwkSX0x3QQ6EWGH6WiEG3dxd136oCSiIL7v0gis9P8JBzddpVYViRYL5
-X2rnwu82pjHwhxQrF16faee/vpndym7psV687cKUA0QSQoJ9F9q1YtdbVa4Hee/B
-7EUrt8R/St59sMBKdIKiNOEnbxb00qoL679xrmGfEm/X/po+8khRZ97DyQVZvlgh
-oOqewElzRuiarvi98WL0Iq6BTx7/WDudm4ZDW0fOeKQyjDm4xiIwLyKbjmeXbDAT
-KlOo7g52cBUUc2n66L1l9AvHZYe/QnJA+F9knjjwBmxuI2Y8H6J2Z/LN0Ai1Xu95
-Z1T5OOnbQC1WE/KASNiIrwnSfRPIPxg4CasE4DWjGOs9HrwAeVhY+bnKuYvoMTGB
-jfZcBu9HSQWaVXKx6FVvz8i2OOEcsQfMZynzCG8YVlgZ/G84EDhatmHSej9zu36A
-CvULGdbI/j9ESjAuSkgo+kKK2N8Uw4bsBcZsvUHsckAwWcKNiZ8PON+vAVKkxNM8
-aObCBmC1GCR9SqdTOwkxvQbME5UNMwsGQyFghTW9cRYaYCaEjNNaqVV6h2IDSbu1
-quIrnIhn37ZXtbM3dc8r20o9/JtWrDlKjvrnw77+81FkFfP98+5ntGL1udanTuhd
-Ef78thzXeOmbDgzMwjMTyI3GMZ11NsQA6bIFdbfEUbItY3JnkItZMG+BXLv1vnVN
-1dO/cxA/DN//lAbhsQ3iq4u8DFmNJQ1qcW+fC0RiiKtlpUyvx9xOIXrErR0SMjVA
-kNFfDDDvDbHbX2XoA118rD9a4k1mi9ivRHt04iEfdOpgDnwwY5kGJxGS46foqN+D
-/pPZgaXoBxlICMWsOxZXW1F8YVaKfBk2NWzSNelR6b0LXV1YnaUrgLR/Wc8vwcN7
-Q8QqJWXDRJltTPiQlWSyYinUeYUmr639LHnArR+Pqgcvr1XzyEBYHGuffozLV92b
-Cf0WX260rNmjEUX/6yHIPureiZgoEfqyo9MA7Bfgcy+PoQqd+H+15aguKbBD9UIc
-ahAJ1sfWCaaGo0JTNpjet8dKT/b9ZVX7BwJEFlT7oyCi/1nUPaXx4ShcJF+20MEP
-BO83orRZMlGSXjxv9sPqsxZhCq/10ZYFgOIyNP4Q3YrFwM+zdRnafXr28HaTv2UB
-lA2YXnXldvRXB0pgRX2EjwpmWfDuDovsHwWewYz3JsHx6Ucq87GQ7+AUbAKyl7h9
-on0xPcCulxMxim3ZGrCtoDu0O3+O2vSjt50jeVsw+tAlkUn4nHYIsT2YeKxPzgGo
-IRfUJNSTBIHoXbLd0KtH0m/CMsQjt7BUHPXkV+nteJJwyaRxcSIYY038pLdj5w2G
-JTQLnOdurIm7sJclrF/Hjm9kgk9U/8e45xseurq/EPaGR4GALPeYY94mySiU+3SK
-KDztA3u14qd8CRueHRHm6G34ugZugdQXryX6DiPtRqse9dHUoZBJKIveM1Ha4N6L
-jstbsPyraeqLuzxEmUEzDwCTmRg0XWy1Pr5x2alO7N3UJre5TWh/cgbgQgmzrcmm
-E46Djdafb4qFtU1XI3kjJj7T2FnzcsrwZ8Lgi1+2+pUrzQHpFfXu1uBZR761fSh3
-AmoBYFCzuEl+yAGRaSzyQpoEGxJMwK0Ol/0ZuZyQpbkJMpESzRBEFARoICo+upNq
-x5BsHC0zghNQWDyWE0NuWaj+KhtF37MvZ3irxWTPpz1nqPAYJS2jnPco3nHfbrVE
-ERUuyqIt4p0kIS1WyS26DismMZJKlU3cDTNtXfrel/fUwcJDuOGfbAstSJ9ulowF
-U3JZlsE16h3K3ZwMlOIjrs1vds8wxNFJWByHjHnC4V3oZ40cbX6QrEIryV4iFENX
-yc7/wNF6vN2pF0LIpUTe9BHLUwES9Sdh2dbnqnFabhfnblDKpIS/jKd06W8wq5l6
-9ojcQSRzFa22huxxJ8u80dbQSVSZsbKU3XTf59lvUjxLvW/qGagKo3Ad4jGNEd9s
-cSscn0dKY2av7NcvqfnGaHw1VNBpTjEdC41ao4mHVaovJbR4gRpHJpIY1gZU3Kjq
-WF9Gb8YGrA6B0J2u+yM0Uy//+pLkAGCt1oLEKxnqHD5WT1Qq8qbp6ISzgjybN3e7
-GJCDUMnL4ek3bikVSRxEz6DD7msX4gOfxmP5yEKiHt8GwC6xgMGnF5TfZK3pLCl+
-MtW7dJeMNkr15xCD1cU0lbhgrR6ixhdMMr0cnY3f025UYZHKY05Uoy+aLm0e64A9
-7DXYnUYWRtx98QGxjIdwPiHrlET5u0RK74LoMQfazLny3qzy2jggjE/HFBUa5bkf
-eJbWrpYjYDKKGQJzcLo3nYQ28/ji6+O/ubzTwl0sU99hSyLyRokdnwQOKcDD0FOI
-gdthe2pCMX0ev8TIckw4tKTCQanluA1LZ25V62D2BGrpoqmEzqQway8zKbTP6Jwb
-l+JqP57aTn12D53kCcbV2q4NgLd8A4ullugUGQjlx7FuBou1ROVX7SIG4nDlQPQW
-sGvnF6vW52cUY5Io8lRLkGhq/ykaHpOlok4HmS4YTaIcJnuNzQVy1q4fTzNQgqo1
-5QYVcfS7LDIoLRZ0i13TigzFtQK/Ps6tjlTPYmT+UkCiviZ7cnWCoBnLEKHsLo7b
-QxZhaEr5wJ4sAKa2DSj81nUI0yXRMTzE+SOUhtt8gh+fCnqf2U1BB189s5+zjTUu
-6cb00ZvCV7zk+Hk+o1f5BZOYWdJO9Hle64v2vnfUrsPXz7De81KbguNW/5l+aZxw
-1iLcEmSD580S0Bh1rGGKvdW8y3dFtSRACDAb8rl7YsAvdQqQ/RRQ/d0qrDSik8Fw
-u+xhVFGpDYOWE/cc5OjWb32oQ3hHkDSbC35ddt/tUJReGdj9RC4O+wYjXrfbyz2+
-BwxyXt59T3smWIW9BZdBCVo+r/STenc1KH/GAt64Nyk5h5EUJ2d4tyekSbXL3EPR
-WxcZrCPZWYBej5mSdqYrWW+o3s0nrr8b6wFqMSml4ap+PEHiEVT3P8ire6nuPYY0
-9idkV+/63pAh+y3Kngj9SlBEBCR8MMT35eSO6BgBYJTkDe1HA5cwQNExvn/V6L04
-dr8PHEMROBpHfXdRezXdl0dr4FCkAMvAqS0ZfAvgjpedgqZ0Z2qQqmqJSOX3h2bn
-u4jGT4Tjm/mNuZwB+ZXSVRyaPDjZbrBWFAmswnl6vZZWeWWVaBnJpl5q777KO4Lv
-NU2P4ExrLGEeUFsL3aJ2wao5E1+QH7fuBVIr0UCRVCJyh3iINPdqv/E4kmilsgor
-b74rtWjIW9fNLhWbJD+PrQSTTo93Vpg0jzOnP45ANHFIKCy4Xv3/d2qfPLoAn2B5
-XIVqIV1vQGxkqkHum1V/i9jYYZNWPbU/hC+NhKjiWzkNX89RWZf3qYAoVV7siryd
-5u9xJQrbK7Ebc7StU9CN6iSEP5YHw1thL25kdgWZ/ZRSdPHGNhUAX+Fw6Y23uubN
-2fSO/NjaTQ2k/We+Np9D5BqiPgV4Y6miy2rh3boQVM+tDcIpC4ZHsPwZytM75Zad
-HEMBpSmjs3p+8eUN1uvTyVjSNGDn7be+wAIDfb1b17YBhP2DqWBRcQy4hmW1fuSe
-JLE2dEbuQyW0MMu6Ep93T1vNZWi6kI1D8yf5Wd6L2GaeT7K/5HdgyWZ4sV5frkYj
-iRBmM1RG4bIs0/geSTEIxEG8hUDExA2ZUBr36bqCvmf8UxSPHj0YCgr0/rasako7
-FgBOb7yDrTawoLo/OHRgto9BaspxxAIRcgotetOcDFw0RNDOXZvD2948H6ZorS29
-feStWMPj32et1oaVJ/nE2+xlwD/+KLoRcPXZ2+V/7NIMjogrfRjqiXNAUT6FSG6v
-i1jf1L79bWSKjEmyZTgGQYlRnKH9qyYLg3avrOn5iSiPrG6uo/I23YiD686wc1wC
-Bl6h87MMALnDhc+d9JsQ7hOLIi6moozagN6qZpf6Eh6ht1K3SvBa1crZjFgg4vE0
-sswRogfe67O/1LofNFWYOVDalxx8DTp2CePripiIfcpJ7MkrB6wMYdVQj9Pz3MAZ
-4LK8/pIlN+QteccSa8NlcDC+jHRE+0Dca+x9m2M2u3of+DKkHQJbmI/+OppK62Lv
-4VYMPYmBtLZmY6NQj3HpGHYsdejiIkYjeL/TliIofSFAhr4B95tclC33/TIZuMfL
-3aGnhikz5UVtiN+kYGPpB9boX73lNlfxJP1KzUq6Z9awM8Inhumle7rnv+n7MhO4
-L+omzdmihicqHQOISL5wId/H2YGkKpdVu6lAs3d/1YjB/2JGLefiAuuplvvNpjlr
-cj0Q0nk+vDSRfrACkEy8xcbgFv2fFZx6r0bgwdNhaduQqpc407LX+g4pN9Nm2e0b
-8nxDA16VGnDgIPDt/+/T9QiwUFkyKPYYrSa5aFP64OE572BqBvT6zzeViYzFjFYe
-jfePK+7/8jbZaRLv3qT3mqLBGQxPvb/9YJQNtugdnxgu4+vm8YKdsze1iBLR+A/S
-etLo11QhPQ4vI13yCtVZYtcSscvg9XmscnGpnTvFyts2FDGX4fmP/1a+pAuViXMd
-xPTCKyLKcSM0JV9kcAepSinJT+RL2afRjpg94Jzj1mHQmVqdEGNnpaS8tQOVScYv
-pM3lavcnRiT6VAdCmb8LyYNjCvYyDdNhCLVegN0O+clPX3Dt3lyi23TTnMLoE6nv
-Vy5EiUfB0rCZjbHX0hQ+kAbBsQkzNvSlP4owVp/JWMHjN5fJPJJnLyDaMfg/ct4q
-TXe/lpVLYGxQxsTsr3ZNY/Twc+fk5+Kl4ufbhXWbwJyuqBKrJUOUdtNZbAEph0FP
-fDNS1l9g1npJBjoxFzM8LW7HfyGIMnaLYiUHSRhtblEgDEdwJNLXOGZenOZ3XitH
-+WfL1tJw62s6nOll23Ys+Yc5QP7nZ0Bt8kb86SMRtaaHdXV/Fi7qGbl0w84EHe+O
-c5kgrTb65DH0uKmPsBHkJEKcHbJvSxNB7FIQ5fg6IMCoA2Yzc2XfQzSml1hLSUVz
-IIJTBzcgjLBaM5TFCPPqDMFm9SzpSL9HpByOfNxPrEMzCMbGQ5htADzzrNBb5GYr
-jgoUxbIaw3uNYWYxdyNt8yIORm7EFfVOgqekq+VbN4ftpruczUTf0Wl0sOthwaej
-aBE2EbmrtJL4qNFRyYhYP26JUFP+G9WBreX79DCs107cZik3Ugzo4unx07ARMnKz
-0cWhq8Aktq9uegeQ6fWewuFedve4DVM0rWVFCmo68IAXltuYUVGLp2e18VKBB4kv
-uugPPm8UrnXynZ/aF6XbGVgy9+7Z2OABkNkHPbre5VeIVrIUgg3V1aFfvhkSCLvd
-hNA7wMu0lp3JFFt0uxQc9B5txZF0Ho2Gdc5EwVZSOtGna+b9o/VtEjUDrjivWKNS
-wsc4Fq625/huI28guwx8sYRbVhsPL9HjZY4PM0EBn5M4Hyww+4PVKwQLow5gGMP3
-UldbKvRT2YYcU2pSC+wOnrrBvKiTblENm2BxsuTbzd5IEWeevvLbhIkuMRJlw9fv
-AMGmRva+yiLcNUMYq43ncffZAlZ+x81IiMR2Vs1XudSA4mM5TvJe46l/0mBChMVf
-bUIXIGAWC+utq0ceAYZiN+HhFr/tXzJ8PmOT8EJdSbSOoxR5AMQ3xkWVIw1yBdvr
-UytavB+GzWFLDjz7DHt0qKQj2+uZzr+62bDHH8hZedbKX1HxDtkv8nENkziPwXcR
-7yDvs0ZHl3DxUUuQHGWFF+v0fKjFzFtXq0eRj+tW4e2WcxFUhPXzC0jEsFTu9reL
-YADD1UT9W4PM9J6pojwNwtMrPxFyySTMAl3g3/QsuXZii52Pyn6kDgNQEjd5AEKs
-FW2Qy86IwRc3eOWvaWnfa4eGRDvJYvfVfEdeuuPqp9CnHkI68p2e7B7cCg5+cKSd
-b8s8iFSh7zELfgZXOc7nINuYALfAdYa7YmbChHLDxS+5Rdzj6PWysVK5M4rqs1OX
-dCnmylQfg8D2DglqBmMJeRMgPSyK/FQYltFIprPQ4gL1VtY0JbGQ0CGoR0NRu3rN
-bR7cjaR9I4wxKZKhRcfjBii3+vnznhvPf3opHQjS7LDtUMFns2xpE3VGvA2puWjb
-LfUvPd1S7UzZX2k41xUji7ga9ttbNussv8chJ2pm4DDwH/6rVx/kNmVJDZZRNu0s
-9cAGW8pMwxYqsFttZlq0zCwe0z5yWRk0pYzW8NhYBG0bYambUnFNj32EhwMcqwOn
-9Cn1oLqZBYWcLDA+neEK4o4R6BUTzxRl/rYKPomYaia+MTEmc4qtDNt/M/gk9uhG
-TKJVFEPutGkT5dcg7rGbF55auLpz5IJAtA+PS0nus+lxkAl5rWKVl+Zs70K3i/gl
-PXYam8OjjdKXFQ9M7obZxVtvyKj7WoM8tqRZMKHmAYCpQKQMlxpKcXxPsohoEXWI
-FT3CALXz3y7BiklELc9Q7Xa9+HNBjb9Yvc3MN9Ib1kilS1OiQuRAR5w6b5FjwIOf
-0AYQsguTfADGRJE4ti1mTazM4UaX0JnTaq1Tm7+Y4pfqZLdIR5iMeWjzNEi3fHkW
-tXB32RXA4k6eCc5gRdQwTEoh/jBVxv+GFc1BlHg54Jls9mbedCFgZclKAZbMr7T8
-ne70TrW100Cq4QSOjyHOaQv6Wi4j7DLJH9ZLaWtuhKXx2+gso/WlVFv3LrbH4Nia
-fOdnNuDGr6uD8FfeU/DukXYHncJ0LTPDh81ZK4sYeBvRFGKvAiy/Qz2deqxvnTYW
-WCqxa7GrXH9/cG8zp52j4WVD3ZlXQOn2XNYm9JxtuT7hAk+aXyL4HC5xZ7MPN0mO
-vPkDDWHZAhQtyP93z4eM4BraIZj5pGof50tWtdIDuoBAIMvQmyYIFm6I6M4KzQ6b
-lCjZP7VNFYDNrcab37y1GUkE5Zpbeo+Dxu9bgbQ0UKwZSDQfpzs+buycfVWRkjF6
-+DDYw4h7xR5MKfhsmE1xebybDR7Gao+A52jnb5jOP7xWmhGafDly9Vo7rNi/cPym
-QsNXmrGKaq7ZghnkT3Cts+VsOYNFIzbkPGr8JJnN7ndQUK9HH8iDM4paKQSVftKf
-cmDzzuVOFQ7whltV7DG8F76vNanZXkEVMg1asdJQejrHXBpVfkdP+Bta4bmmloAq
-XBm1bhXA60S4IDxPRVaNoORPjpqgoqR4yU9dvD0oq3VFglHv2ETc8NpTiNWqaQSv
-ZtUdE9uOac0SgVGghXpYgRSBmhEhVaLTM8hFQoHjKAAzbpfVRabMnolRMLsoW5sn
-MNWplaSzBUyBdNSrdWi9ym8PRZeKSM7ztpPaPTMoI4OaNFh/7M50MMMKrBbjvbby
-b217aGzfoj14HXpOQUxEMIn4w+b3KIZjnP/RdJKEo6E7lYb9VHqleslA+0YLIbQQ
-CRsmc4hq9+qsTfXHZEpDfQWPCkbx2rGnTxpB+PkGQqeHHhaXbtbfuBERRmH1c5MY
-5MuJSAvwRv2k3o7ZPYOWkxeyQ5G7QPERRTBISK+3WCZ1HDp0yKKgh4d3/QsZnv6p
-FeVKI1nu4spDy0gXPuXsuQ==
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_seq.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_seq.sv
deleted file mode 100644
index 9d4241795a34fdc9c2bd13d7688a48d0d1ae4194..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_seq.sv
+++ /dev/null
@@ -1,170 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-Y49VX9oMqF9z4LBjzSwW7yLMLXotdWJUtOGA2Q8OH2uDGQD8XomiCdpSlKJTzLIH
-0o0ZG8cZSrmPwY0Je6MvY0pFIhLmxkIR+E8LHuU7RjY8NFsMjsqEkkwOxgqC7TU9
-akzJ/MRg3Xa6wRNIUpXN601zgfrIl+HeYCH3tO6J3Bs=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 7568)
-grEsJD/36pDyQVA4iik+Ct5aHEFqLa+mfdk22ZdaOtDig8k/nx6T6zAEIW+9X0I1
-spVPRaAG95HNbQkYWvwwO36PKU1254J1zxt+Ur8N/mLMR1NVgK+bkpc1GfzcM5FY
-4wKWrHHMuaGx7N8zitMDSjNAqU5qN8ltB62MaVJICKFZZM3IlGGbXQK3F4PgMbmY
-meTcfgXVnfQW8DZovPBtLaDJ2x9ELSFeEHDs6dKy9Rkaiuf7+/bsXXr0ehqQnPOt
-vY6jgDV4M9DIv/VMb6tE5ZxXgM50XkrzkPwO/ef2WklEU9XefOUtduUc2Cfg2Owg
-McH1V/cIFmS4OCE6wirDdZY8ywdlB/2bKBXoKvLR+BPd+VI3AS5mQRMWKb/WabeF
-RWD0rbIINIip2k9exkTcqgNEhsLG/MB2epPZvEQEAnJvbFfXGsfO4GeQFsRnUax8
-lrjntWd2u8idsDCklqCp49KkEW0J1TuCqMinPlIhWHSw/9QwAioIpV36g+Mi4ZIy
-aG0vNLWSYpIRdHQnDMk4tGR1mwPF7yFnEsAYIbVJsCUKq5JWO/dbwochssxTv5Km
-h3KdghDtQ8AYERn97Ur9xco6iEWmyP8ALhZSQXiEbU07XqPwco7lhA6q5VOyvyh0
-VKGMbnu1H57D9zDvgRh6FWwUhLHkA3qgnSMqr6pVClIuqnDVcb7nAogWvkaL1XTY
-abN9PHFCNUINiddlv3OYG6+K6+xMNzegn0G89+/ppZdGzYiZC318ocJDK2el3Tyg
-fXShXYcb+N4BK9eunHJOfUcoBf/LQqp+b5MhDT8YUMtEjNrDIf869oU4yvlvRoIY
-pUgSBBCIvpJGl9pqL8aqMLW80/WLxLHwpUoKnUFmKUFW2hGf7JJnALp6bb+pzbgn
-jKgP4e7fwEb+YbXjosgjMHuWfsdjVak0OGEqttd/rHiARNcYKrNI2mNLExMtlG1h
-+T7htMiYF9TCyMj1TNFYWsZEFhYprVNHgs1M1VoPMxwEZqspUpR17UPYdTT4saUb
-lJpTbj1ZZK3NSNZyLOamM7PQm3frnWXbd8gc2DViaGWPAxW23UlU2bH9IECgimre
-LJq3NPkk5ZpQQqPN6hE3wHIj13pO82mVyJqQD83LvXidzMMu8P3g53M9nlf1GJME
-VOj4V/Q9HMtUdtJ2initLC2PpRuHtsmMyAdF9+XPcKmqQSJHJyCSYp1m8g1HAgqT
-TlkQvt3hoK9knnrVqxsX1N6WWHM5jhHIHo/cX2/TONAyWr+ZJn0GfP1uIFI+SlZP
-+7bsP/jIbkstrjUM8H09m7U0YmBt9SIx2jS8b3Io5m1erTLC0/qhFIyJsiJQk9b0
-/l9bDkpJ3m1DgPgXnfBTy1vhkJBXQvXR5kf0MRQo/AkMdc7XtT03ffmRYGABGLYb
-89S/YSKjL4FzbAKLcothWCfV9Ui4mFp3rz5zybwl7kgGxmXNk/q86r7SdHPJHQkY
-QjgqmDxO5J/y7gJ0WlbPb4LvMgdinZ67G5P5g2wV7wMvEY00uiODxhhWBcqlfksT
-0R9/Sd892IA2AXmYGylJOv+O/XEiFXR75hqca89mRqrhER0DbLto+M/7+ZYv24df
-enQyMLWp3LYAqt9zPMo9z8njYiA3X4llwSwWjDnWBgHPX9b/mEwNfiW3srYVhgtt
-jNnLGp27vx8nLtycUp5XwmdV7KXM/WV9SigFLi6dgY3H3XLNzvfmfvkD4wAH0FPv
-so+yEVg4XFNSXJzx1Bc1qB/IRTg8q6uaNdEfA5vIR5S2etQsQcLm7UCqD+47RI9s
-vv/4RBtRQ2ObOyg27kXkD7AkU/Rpc9eFoKVkcXnIuGjg//oKCoKeHOXMUkykAnCN
-s4923Rn4Gf8o8+5BNfZ9tcvcAE2gDiPzYZsd20IqSrqfdvm+cRTte03ckNjLxNVW
-gxO/Fhs33rJNahE2G9nx8+MzD7qBtPaup0SeVK3tICnF0yxmFdM3CrBImQPQDVnh
-yMldEYhk2Q2ADoWeX7jCMAVgFh85rqQ9KyrAj1YD9Mg4DbC7lHyogkhLQzWaalZp
-8slff5gsiUQcVD+bGLnT7Wol5zfJNbD+x5GeFwOgF8XQbApwAWmI4/0rQyOoPtE/
-BfJRJA7nmEk8xsEi30IfD/CGsM7t5b70Qx3bi/97EFN8/eIBGIMAW8097lumjRzG
-R04qwGsEmIXOlN5uJ6opTG/ME7jpCl1bnA8AZti/qbP0lJsKdF1R/wYmS8pGU1Tq
-UhioPbYLlr9F0XHRpr2DMdGaRvVQfpw3me6i5eS6JPZuHKjAGnRniqlQjbqISNjW
-jjy7+PR2HdIqvoY2xCwZxYI5vCaBZSX9LluiDx8yQlLhpyZLGY2vAZBqY+FfHoTS
-DIWwv+zce5XPLj8rcqBKX3qUCmFU8YjGdu0ukZ0RiDKkNAm34eNegyss1iIH4d/4
-4F5cKMHHAghtVvxVDgb67QbOooLAImMoaxq6dwzeu1QHsHK0HEeyhtccBVDXObhb
-fSdmkAfYHGHrnBiFSyWThxG1aO462Q4iaGe1ANXv0Un+MptulNx10XrQddA64PmU
-PBECurQNj8Z+D1keoIKdPNsprcpUXezlao7+DBuHJSgEKLfFD1/EwDuuF7+gT05q
-0fU26ki2HWuKZ46Pyj847ho9FC0bahU1EO8iUCN2uTlLkLmVSKtvXj7wimrEHXva
-Xsj9EcdbWv7qxqber8/GB83ayVsvm91mq4v4TPMeuQTdfLRU1RkUbhHCnHZvShWu
-T0FIFtXQ86+MybwmMtfkZY3VaiuzEjU/LkpCnIdtKm44cxa9K0M2A7Pny14Kqjlh
-m2Cv7FvZ3x5xCJHS0hLwbywUI19t0p6auAt+TnWqSBypvwk5dGOf7oDC/W2NtM8d
-8ffNM8ig+Gmh6qYgoXD6kCyO+5vkPs2oEzEqK4O83TGJcQvzH6QsgnO7fxBFwBVB
-X82CTcPe8/Q0N3ITPnsj6qUErrYL/9DsHCBHs3IaHgGMoLPD8MWTChN+UWKybCby
-4iN/ANZBJd4RfSYIKMEkTO+UJwGQVIKcVvo7C+QKbhv285eUunHd3g2Rq/i+hX/B
-KQrgP1VAlBNpbDpI5ewi33nv4Q/a0khGq8Sqhax9xLBndPNt17W3GWQKMkUfL6X4
-UmvM3FYJQbEAXVqUHU4Lmfn9fAQvuixHXbDN2+zPP8ZaaTShmIjlwXPaWQfOyxLp
-ht3lON0J5timYFwSPizVuTw7ut8fmB8JiBBI6XoJ1w901Rx0aO1gSE/fSCt1HNxG
-8mOUS1tTSGuT/wZtfZXHzWd/nf4mOcGAsZpJg1dLk3H4R4B+K1jpcrRXUmsxJUOn
-Wl6yUKzkn0xxOdLttUl2MjrjsMVTTmkngAB3glDLe3qj9SJ4ad+l2vJJzX7snMQL
-N3R7/o2YNuAmAjh4IUtQ4vu/RYngWBwp/wyAuy0RcbnUpqIV8pupln5LIb3SUmqi
-4YraIwGM/wOzSN2FG83fNcNLzncKwAYhwBDtM9jQzMEDDr6flEnBGcODWNkRE/d+
-sqy99stCufpZNV/WSWAhAJ6wBKAG2UvGh556BZgC/zu4Pe9gxs22Pe30U5jma7cq
-5shjfsOE2Wnn031na0Ai9fXr2rMy7LD8sX8iPqfo6QMt6gyI4iJTJ8Q1oIG+Nr2j
-FzZ7dJVXJtaS0WL8BFAKflOq7FtAF1w6nSurw4/rSlxhOoe6RALRaQPkysul7Q4Y
-9WkJR9VEYeqiNdUfmkBA9ehJ/fJ6JTAZ796Xlcy0JmmUzrudASwgl+rTO+Jn+1yK
-Gmu55XeOCm5jioWSz9oYG9nOBg+0sTTPxYVmMCeMGD/KQsN6KQ/Qj+I8FTtl7S3I
-Ynkf1IV28EatejImpCe6YmuSGUOM/7EtQ5sib2b3wJk4azcYTvW9eryIZARV2P1T
-I7PKsONTkyz91OdDiNqVnpqOHHQTF6PT2zrICCM6RpmaRYxhn9yYzfFYh8gKYUGl
-ZcLs5s3q2nqoTkJt90oTL5GSRSRLggh4ZsUp5/mRrva888U+kU8lF1ps6Bb63HJj
-6n3UwAM13nmrgXA/ACk4AsB7V5z3lES7oioe9+oCuP93p/s2dAIWV4I8Wq5OlQM0
-O2l/OcBtVzEM1HkDZ65o882s0/IIP/6x/rBufE8d8JxkyAf7dLNNRSzGhXKjnj9g
-XCzZCleaUw7uVkwJhCTCj3pLCiGNRwhXJ4H1r8VPOTAUWxp/ioJ2B7nWyXHZHjra
-tFL3uGd1B6CRnijKauh/5hgF9Z2NX4MSPqgZCCe+KAxWpaqJRefFKbZ7d43TBjNP
-TMk94VCPzintt6B3vQ6xAMRX2OnuOsMxG+EdiEynU5Dh3/OWl9+6a6+TIKwpjFve
-XEySA4ZWxKSeq+XA+zYBjkQrw3fdmntcxl8Xu0Gh1PmUqOR+4x2GNjNgxJbymswY
-Acgi7VQ9dulJfWpIkBJzlyWauZxrx/Q/Dq0OxVKMxELcc/XV9iogH6GhgiudrY/U
-XCgg7oSSjlWcQlRNBIH7apEqGJlasaeznNhAhTyhIxAlvPb5hhiPUI9ztgz0FiFA
-p2sOLHqovhK/1D987f846UIsBex27qmPvbGo63C86kYEhkfxsXF1ihYOUQ8h38/4
-wDtQrMCPy3O1l/g5PU1dotBEzV4ivKWjdiuN+MgrCSxwoM5DLCrZNz5acIOcEEwk
-7TkgbARYYHjSmEPPinoWz8KoMXY/rZxRbn5UUk1sFUDVV1oc8IFeSZpEkU56DxbN
-VHSR7b6OnC1s53c9TYvOSZBAAy5Y7JyxQmSQ9WKt87g9qDOq5EvOhnhcsW/JmMN8
-nm3eM3QgkSkfr0Hzfnq+3aCajxAu8meaQvUX7wf9aA5PWxxvkwQodGhmCIyWWxpF
-9ooCA26n6P1syREOzsfMpZ56JBs9038VM6jfRBuhNUghNI7EXOHt3UKbX8SPrjrg
-Ni3RPAPvj9SwGDP/sN5V1DJRshpun67cSgquA8DYYpFZbAXQX4Y6n/zh0cf0HcKU
-A6sMMCLglnnSEdcg/TcDlUHXE2bxovU/jBrSeqYxFkkJm8lXTtgWallCEjOaUUd8
-F57ho8/KzKlQsgkNND8ES4kykBh1mv+Z1hj7T9peLQMevLHbcIQ2a4HlTzZ+5Y2x
-o2g9sJd/h5LKaFFzvBW8qg9st9EQsk5jC2tCge6Qq1aizCJfvlOy8lm2hG9SVWAh
-dGjfyvWDcyjw4CdMuJsbOnr1DwivoqmWjcB28GBzFNUa3201FRbkQjF3dwqijv8n
-BDta58FlXMJdIiMaQuRtUSRAv7TuQwlyosz8UUytFXeZMGlV7N/H8SZ5TTuNgsye
-drEHdxIG8nKjLER6/mv44gi8X5aZPI1ANSFFIar3Kljz+2xX9zO87nHrZAu5hSEt
-16BT6cgDdv/BYRkxGN3AFBv/JztD6ahTrAuuO8euAQ4wYxEt9NiMLij1QaATEdiw
-CRRZs7kDEk6VGBXi/lylcIPlzUOkLfrI8WNkm/iA671D5AxOfkrOqxTMP3pn5cH0
-dEM+pRTSKOxZ9mfVbttxYyX3Zcx/ZywiT51fnAw20Cj/FNomO+Z+kQN+1+Hiz9v2
-YztvRl6hhbzW2AtJb35CV0dnISeEKb78THlApRtny6jsr4pC/hEtwBZIjzTBzsUn
-AF2i+Wuw8POYLyUr3xosD5qvEVLgZgyQML+EbPYRs5t4e4E0+oRTRHxhF3mLtIyU
-Z26LJ8tIrllqObz5ZNZlD9y7YB8lbg9wDldCzRZGj+j+ikbyslslKAOBxQsXyixv
-9BIa2WkcqNmpak1DDICACfVEU1tHXwaAlccYxng14mZnCHDsn8t2XN+yvqrouU1P
-IEdvxCgifyZYJ/BMaDB91yE/SUd53olkut6/oj3jeyiSFuXgykxicd2No/2pJws2
-UDdPaP19Gybv3YzuWe2cGRHIKjMp9QSDRRBatk5yqCIH+b9Wk4kaLOUec55zmRYj
-xI0yRhHOAEhLfPt8nrXSGo8QKeEiSbbxC0927VA3JsAXmYCGaB/MOV+IJxNPHGcA
-mx6MFwRCnCLrjvZk0CQEGqXbGUcCBnBWtjpqLXRojG9AIWBeP/ZRi64+weI40Z9p
-y0ezqLj/J+YYyk87fTNcbGdJfeqg65//8/lI/M0NZF3AzhEsbjHxhl8alozGRhyJ
-x8E7pEzcYva2XPPjIBi781D86Xa2ABZq0Ea9lq61VQ3r4RkpY51oR0W6ECEmcutd
-62z5zzdKtp3FdzvGdseLeiru9mQ/K1tD7S4Q/QTsctqirV2y0MVEA40G7J5PNgZ2
-wyxz8NMMM0mrM/oe/tWlnlNX3ilpjxmyRxVIHDMTozrx3MuVkYp2PnY+V9+4GJpp
-+gdNRI2iolvx5+cAaNkm9SK/qMHcysFdqpVqLKrDtucS38q4OKvGVS/HcVtADaXU
-e3PlO9rkUyYHFehxD9Mjc8KqNh7tdkbhgzXe2z+59rIqmGR9BIaMZX4AplSD17sU
-z8sZAfdcRUSGDQ5wHG+KbnCecnoYwFbvdagEHONYLjXKn3qjeJiNXFWm2b5voV4e
-cHUxhkuw/RudxAi75Ykn6PL6eeS+VxTmUz0r7MKITxHNtKi2ip7NRCUejYO4TInK
-/8jeBM3u9WnaOnXSSROggG654sh0LC4CaghZbeW0cGZ8qENhNcmGgCXiMka6PIwD
-DjvOW6WRg7PXDwa9+rZgyxjPc/2C+CnUlG6xrKj9BaGueSUY+qUi6D1IMuziMj5m
-jysEMZdTUAKitI/bYcHUXCpeCkYMx8+aJKU3fzK9p8Oxs2TYL6zYIk2nRS19M/yp
-RizxQu+niFvNEDyp2oUTme8LTWZWK27cf5OqyLIo45FT39A2H77hdFb1TfCI3Ckl
-Ne4td1XkCPM8r06vloWdyYRqtmUraim8A/mZ5itBzXLEWVjvqfMcslQp/0hfJGZy
-r8i4Amr86U1iyT6HHACXCUG265NOEr72C1ShlklAnOP75IvNh2AkR2DZgCtbR9Dx
-Yj3/4MpxIkwbouyZ30PNPNVasFxs1fHlRoE2WWiXVvXbp5ZWb6+AfbG33tcwI/i2
-XMFrULY+RWMQAfrb01O4VvJkgh0vosdZH2bb14GFzZVzWNiG/rHPXBl2T9FcqeyP
-00ZYazXl9/QFC724uQhCHX5pfacU+YyRN9yCR7mcHGkd0PASdRvq5cgIDc046AEN
-6Bbke6aAyTkjT7lNDRvvsLDnfwYdYPNiv2xhBJXcTPLp0zmwsUAeIAFCuGs9+scz
-OhXS4NbPq3Ab7w8YDGFdklW638i2qvbAGDKbn37mEJ0fXdG+C4iRNxkhasrKBhME
-Sf9OTJFs8uWi8wznCEIAgYCHuDbZUJW17YMX4eWxoTh3rfPxYejHoa1ULWzCgO3I
-m7q8OeSV3YfYk00gtXjuPN+FtpnoWzX1ZmDv42mUl5JKHxHF26+mgQ7GDojfGOqd
-U42afqS78BII8f0pZpQ0APmTI0VRz4K5/Rs5Fuzg4fyPhOj9fMjHAUXVlB8FQuEa
-r9N0VZnO4xpLmSCtolrp9/ob9nw4ozd9+MDjmJOJ3FykrQI0TOt0taQCs+SERvAs
-e2qvJqTHgGKOMCdT0824QTT1G5qdZOyOSY8YjT1d62ozyDleuApEAyYs03hzrh+q
-Y5YGAIF9OAUwgxSMYPTm0mIw1tbMlMfWG9ub1K9TZODA+J0e2zJYgMse0tFTi+jR
-G1mGy9CjzkG1g79Bvve2RSJf0Dr77r7K68iyX/DculNXjh8ijxY9rXV8Y7u9VXRb
-Ja0bkz5k/+Fsu2KTdXsnb4LiGVNuoXvlfD0eesw/ecYIivo3v2pbfd+nuUYra8TD
-1zoF6sYbxDBgeUJwTSOM6TKVWTw1yZVDdPcDW3CVHrZeqq88/aRJz6zRM5Rig8Tq
-gcZlePfXEcWO2gmzuLfI1WG6pxKaX/VvPpIV3FmNW9a/8EQX7c69/6El4iDUUyWi
-MizGISIQSr2XVgKgKzJFXRaPpwZaEaUSKtVznC4TnVn9efTHUzH7LERuYooREP1q
-m9gtHGVnMC7bFk1R47uxELe37YhTNtm2LYeoJON9DxfIoUTu67Nfa2JPkf4raeLn
-7aOrqmQ1n93xXnsDgcvxMEP6sfe4h2EUOGKc6/A7IES7slJKB5oZM06K/T4zkeY0
-O/8BodolhYsqrsvxUfhjBWf6aBvOjAvSFlme8RXUZUM0kZ4Lpv8CoykxcezVe2Ye
-LDvPmkQ13ygF4xx7wZZdiWgaFaKSYiumRakApfUj8Syu2dUSJlHqOYMGlmVeOE8E
-aonV9Otsn+ZBmVQolJMT2ICBi/9i63qTGzawsgjt2QxHVq8qO7QUzmw3asRKOJNh
-cnszCQh8Ws6yMuqVkVnJYNlriDATsZkph1uALDns+rqTSTGt/QZbIBm5tY4u6bFB
-W8ZS3L4Qi//9JIm853t6iQzq3hrQuU8bdgu4jdHPECGfaJeS/LM3/5fgDB3ejVqn
-st4M1Aroq04JAPcm7C89agPwLC1b6/nXhW86sftGk9frPVzZOsLxNnKK+OCbpqV2
-dsoNJBntNRyYChF8EEDUi5MaUhI76g5i9Lh8ZYuzw4L7TkdzKAmuI6FI354rZLJG
-uawX7G894p0SX5pnBvAaGKcvqMYIb0K6UAGgDAvA7LgMnJnUuEDN8gl+CR4Ou5wo
-VfvYVWBk2g2HxRaXZv0eWVhSDlCY76I7NXMeY5gFJftAL7T0+X/RBDuhYZsJRM4q
-Wr6fd+Ilo6yUi969swqkNScEpBZbIAo3DBZGUE+ZUlsV4iJPKnEpoHdJYqqyfZ7Y
-U46fruZIcJT6JqN66OGCH1V/GrFae5Qr9eFgyhpdReG93n5nUTuaqVhSTeFWBg8n
-wmINQ1wyJ49S6YK68fZBy6CbOGdqFVtgaJAzqmePKogZdsLNW/PFsM1Ex+o4ttzu
-whinwpVyTpTuOl2fQ5GT5pzEjpAFPx5V2U+Q1PK6rHogB1+zXNgQD1TIAAn6UpK+
-0h1JiyzukevQdkUn/ncoLEnGAD0x4sPyOeasy7UNRVW+3+Rm3TldCfirG3y/lTM3
-6duY6ql+BGENYWtnEOXQPGSice/WLyP04Gz08XA+WJeJXaTMtw21q3H6YxyfW09r
-yqOtj6sYZKfilbz4TPFHYuYgWFnjKLVp8l2FWkpZPKMtgQyTtAyameLRAExA0lqq
-zWdKL+FqRKGgEtWz+soQs+7IHvyFA24is2ymXGoZdgnVrpICTEPvR1/OtjkukC5O
-lSGKLEdu8HYzupYX1ieLjcoxesMVEZXfUJ8jRPotvkqY8APNmApJaMrRELymNtlI
-Jar/rVvYFED8uO2dm45FRsG+VZSqfSvOF4CCWcXDGHU5zSJkNa1/gGZLiCTdfjkA
-EwDZa0y2taDPgL1kPNBOT80npyKvPmfG7x/hzKgCC4QQcKMouVoSUFqs4RsPZSZ7
-29gTB61Z+NOpfbvndIvHKTtI4+GeicnWWEJt4iCfWo0BvLCs0tSgbC2l8LKB8oSi
-ZMOgjnx1yeya5hpn5YV/+iU5f4Haz5OLtvQk0ZrRZc6fTcezBW8NL79V7WoklnQl
-+tvmWDXLxtjF5s7xZ3rc4VsjPNZszXqJTGVEQEeU7JfEIpxF2NyDFIqNnjkNfWg9
-re2JCHRYFMb7o91msLvOJVDroxR5dmvCTHWbCy1qtupNA0zoV6hAWw51I0/9q9N6
-magf4ChdTUwSDNXl27zrtpJInb2XgteKatZlezPSlKGrzZ2mhKgRKhrg0qTo4ftV
-Et+b1BKAzQ9P9ATpySaZBNQY0PHOSz5gN0brSNo2x9RcL25CTUxBIz4AmNVt8oy0
-FTTOrVai792V53Hz46IBFyHQtcuYgPIcSHsJZWIv+C35SfDHDe3+WeS9m5tMFBtR
-18w7JxeYE3SKUAiiduTHOzQyXEwd8yp5BatBKpJAheeaF+p4MWLN7gc1sU5liDvw
-g4ydLPE/K1MHbUhXqx7DkaRC21FAcGsGsfGKL4iM8gDXq1RQHb+NRwXhqXg2mEoH
-BiCKgVNbMd85U7wng7mrHdZampol35bbHf/4UDSEXYND2uw4uO0xCMzQ//eLZemy
-r6VyuuATSUrY2OeUr+tLcXNIcBKkRrRSqhQqDW+MTeU=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_synchronizer.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_synchronizer.v
deleted file mode 100644
index d6192d11dce55b54fb5cbacb4a5c5c2010413392..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/mentor/altera_reset_synchronizer.v
+++ /dev/null
@@ -1,87 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-pxiUf+MimTmQmImRRWBw2ngjoR6oUVlI/vPE67es9i994yCYO6qez8UmM3ZVEeGC
-/3ojkpeBHgca/YlAXWGURS4NfTjgUIJsQ9v/HpibhLCKD6WvaIK1LgWZTko581LE
-9RND9mE6uWwDanVux1HurlIuC4JMxifAq7PLwuTaUa8=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 3584)
-sRFMoNe9uhCNmx/jII/LOJ1VnDWP1Z+MaDkgDjtVDdw4IhJRcxUCXHmByaW6OYC+
-cZe2Mve0peEdiPCap6H0aHQn4zj9IwBMZDQNs2J6uLZ8EOs45HXZMVMiIsRRoSJc
-UeUcpCdD8m21KXTZQSI9CrjP9Q264vPkNdA+VvRpWYqTaycONMhBlnAjJW0knLEL
-iZ53xe0aaHC7Ot0WNdntN3DA9xVnsoDQ4s1VTVxPDJDMqyN8uX+GL7cZdDPR6oK3
-iR7E5DhT/FDUGYV5wHlICqjjiaYQKb0qR4jvBzWBa+cF2LfokmxwPNalZqQR+1f+
-99b+XCorVCvbCtvWMnvgOe2jLdvR5Oe+n9zynm71f31NAqcvPswuUB8ZiCiJgr1I
-UmHHBr7LhP6MM8qQmdOCU/wZBbsdxqyXhxwsiIXmn+V7sdBRC2K4nR+gtPc+WyRv
-kOclgCcSImWfJRwdqfNPw+QaZvfr7zSzu21bNG24u86G1ZbApdm7owFl5/QM9dur
-Aom8yZ3CReA3SJbu8YWSBDkz5MgDLauGlrE+DKduX4/AijiSYO04XBxEWe8vgtfg
-fBGVbGbWsSYNUOAWYWpUsFuKTxDE+g8TPdToUBTh3rLnnJRSVjVZUvFNixqf/q7M
-FmgJ0/5yHf7EnN5E7LDl7iNMBVm9x7jkTKvWvTzDuXg13qpueRpAAXUgbttEu5gw
-h69uHia1tC5Ps35KPUIb8tLoB2kTqHAlUovuYzykaa193YRv6TBywWqnfnx0W/0f
-4ipR7amE2ynMEdoLiyP982RAWz0J8PRGRteOzUQ4XomvVIYRoLjoKRPIZQvExvKq
-7Butg2zUT8LkdzoZYqqpFVOa7pEJX0g3B2wQgeWliMHlPuHt4Y48PgFTA61c6nLo
-dAiXpvyFxCAiT8SKMSmyMj7ltH50bwaIV5bo2mUoD8F20laW+9Se5jvijUOz7miM
-eBue0h19vqpftF7kKVUqj84hkYZt+VSVAOLvmtm1yImrpONG4VodmhotmxUNVAsZ
-iKcZf3xpMPNe3gh4DfgX0Zq402iPwGMG5Ds8VyZQ0oPZ+tsYFbf7NvKU+fcktG/x
-wPrLr75AK6EI86Ip/msejRKZyErMod5KlULPPYdcAwS3sDB7PbInNWkFJiEG9yiR
-Zt+ipLHHWX0hY98nZ4WAC6znaG0dZfDEJCosAU5ThA2bg6+fTn0GTqClA3EXm3pu
-PM3+nvmvJOC0PxnaqgKWxbiaVzCjY7OLCFglVicJK961SfMVe0j8P36ygAuN9Cbs
-Nk1ifGd2jeNNM6YqTV/FG58gD5Mqb4InYIe+pqkyAWbxcGWgGQUigMmeVyvG2Mf0
-feejdSN7nW/G4vk1LUQURc+rI4hlU5IWrENcapVduISSmG3i9jMLNqGbNEISDhtt
-i8IetLtPYU0U3CqN+mmeZnuAv7Koq+/r/Ix9KCDqbhL734RUNPj9neVMWYyf9IVK
-PLTyU1SE1wi7WJ9QDEIM02lClvMbPe5fUCpGi9UaupN+IudnsdNfBAJ+o/JJ0/1S
-9bqFEsVi9GvlIK399FqBgh57AdOeSzb0PJKDLKg1YC9N54c41W8hrwZ7OH+vfm6u
-/c1TRU0TkFqypF0AvYVX+Kqvv3OmSAWN5obvtSxO+81pRZoDXUAlh/gc5HppTh1u
-jh5g6F27UiXciBwKj8GvP7o5QUEgUCVcXCjf+tgmvk3IsDVfyGoGZnMEXx06uzd2
-n2b10724MnLGY9/L0+Bb3b9KTl0KHXHOcI/O0vc5qhkzZYvws1Z25h+LUVWRNK37
-45lnCwLTnTfauJPf1yiMsNanLJ3pNGSq57zKFRqD0EPWYSWFCEFOpS+2UT1dCnIc
-jmkAIeHrvolHs/Ie+NhnRwqMFqXWDjNMNivJbp810XPYpPyso+YHNWtWH6ebbfiu
-7sTxvHKHV/lacGOibI0LxWFmy8zFqPgbT46x5x1JeYhDYVJP5drzUCFIzhWPLf6W
-LbfqgsvN/SvPFBkGT5OOMjzDVML8SvKU0t77U8ZrBNT+gyyG93ypTIQqi0kTitaV
-VQ8ZCPM53eUT89Qg0lrN4w1cVjOVjnOUKnSSaH9mfuuuarPsXH6S3V/9ez0MreTv
-mtsLo+Ic0n3YQZh60S7tJ5LkcZdlgUt++3jAQ741Y2L/tlA4XfvDN+7TjGc8F5XW
-DKr9jm7x16myYP+CFthlJM7ewsNJQ1cn6oe5C1KHrnZdQu+1N4JJaXZVeX1apBd9
-PjV8jUG7jvqq5ZvKJidvWR5EzKFRs6qxd1tRMOxUfSlCUyErtDMgycpOtGn4DyP1
-uSQNt4DP+bfUhRpLcupQheV2J5zBiFJZ6PxZf9ftOyatdoBSfEL53vukUIp3ZoOj
-UFYCILTCt3wV5QQ1hC1MfxqNmaLDJ3z/lYJazPOsVdUet0gIzo2C21F1AV7S0ww4
-7yVlF4yk2x3YvQXgX6RGMGV9ZCN1ZPKrM+zpjNef4eyow7amMDDJ8jTB+dDJzzMA
-046dn7reMy6F/RiNfZEO6MI7FMoU9KbW5VbrFaOLUSmJt4e0z0ah6n978r+PLr4a
-mHd1dY3bN5bZvRd7Ec/31h+SeXRTvBi2a5bSgMXPZ0Htd7Eh1okVgWOsiPgKvT7T
-se3yWo5r6kJ3hQosgro6MmQ6dI5XbQttvOSCzmFuFKCTPloI5dim1/rsL3IhT1CY
-MhJoisfTe8A+acXVVlBz/HpWhG2ShHa97cUHafdwy4kEOyaQg5zNWj2zVDwFwcg0
-RlvcbsEASmMK3ohjfv29cgWhvHyU7pcb+AyUoHes3Kkm934srekm/IUKrhdyewKd
-MFJQragaHQiwtO0pfo6zvRJltM+oYeic9SALza3m/0/ZBthw4Ih8vEx7oqqjqsUD
-ivoJN8u5mrhThomhrOB1psnUEOtn3aLCMN0ZAtHPI+OwK1uwxZmncyEe9/P0GBcr
-pqQG2JPzoXfmg9zmd29/sIXbr+X5DyjU898myE0CBeOZiBSkQmS+c7WKJ9t5vkJv
-aWu0bkLr+qpH6DzyNzZRCzyikihLNoGcJ6vK7diC21iEMxNPp5SlfS5ce7toP0sQ
-RwBj3+iFidTJAXzpW9wbnJYWCu+4tEak6zo/1rmeDtwFZEMUz3qJ1lFsQVpaY4G5
-m3boQPfjJpj4pMJGswudLuCYL+CSExb4Z84+CsMPLtmfdt3XCYPQQkrivZOGSlGK
-Ib8lPYCH6VbnqNMbzRls2CAHV6wKSmnH3IaWz/g7sH44UzvqLWoUyRQojdK3NAck
-2jE3lZQneXDPgLwbRfEdhphQG4PMSGmotB+HAOtyx045pQpsJoE1So/hM72d0WOu
-fNCN1/9Cky3C1imi0SmxX8nietNUS1mvE3hZeHK7C9peLaJVtmBz4xSGlJo0qDRe
-ON8fLLr88bJeg3cY5Pahkhjn2hFEfx2+v+9ZCufZ9xnozmlMShbK11yBJ+t2AvhQ
-8l197SwWfXIgfkG3h4KuedRyb9W06sL2VZ7OYcWMtW/ctqC7XqvLVynLZ2VIOTMw
-pKMmjiqkE8Cct2lS4T4sV1kfLRU170OfMuL8ZlUhtYgTC2loK9HRzR5hfbaw7fYJ
-E7epOzca5DeG7xe1hpvYAJoEOzCKh7uYxAoAvuFVjCTt5d8Jq40SJVnBSRW94eEh
-LMnuZbN7lEeE6qHGf+lAZKXlQN3Zwt6nxJSeAu9xDyQdn9SdSxW1CpzUUFcH9y2s
-a2wt0fLy6hH2iCWexsTP5eePT1ERQUcG5jiPvKeTnVcvUwYFb/B4SNwq3zaYp/z4
-CVWTOAt+R/o0zUqaaY8wswxWa+X/sENzSiHbZWVZNWTI6FBNERQMi2qnNWs1+BUY
-67g6Popq1dR6coxY9lqryTeH1YUZCexIRhUBgqogQtI/jfjG0TbYrVjmrxt2dv6K
-6wKO3/GViuHPiA44n29EUm5Jeb5bRJVwOeff7vmM3XesKm3f6psq5G4tw+TzYJCg
-s8pzjKVgjiMoPnF0Bml7S1rgH+Ssm/umISznozsCyO4+YzUW948V4jEMRhnH8F9n
-nzllcaWEPyP1Q6gmaircduZiGnCH46/YPpo1g3FzzxtKxzT1UxvF4QmvwHG2j0eI
-6LAv7CyjlojhVN/QwxeXHWss2F5FNx5oFKXzILjvjOOZHO3XvQUVrmCAaMhltd1R
-k1QTAJX43iERB3IGri6PVxKXKeqOTJG1YA2MPs1KRP4n8/v3MLm6sQmmCxxkHtKR
-+OKjcWE1jFbAhGSu+Z1FSCqBpoyEsX4mCLTGTLWtR3b8GwizvP47YoDMy5bjFgVA
-ovAkSEx/XFe9o3de9q0vw7imxBZB71+0MQmCXYca96AhZnXOpx9b9djIHc4xhYa2
-uLGelfbwMZqcPkMOidddmLVP2UAs42sW8v14Xv5nQWrPOHujg9HvRon6OE7IAAhE
-A0KC9JVYyVv2daJ1qbAzqId1Ukg4aJQXFhhrj3xwt2mxKuIe6fSoHrHdPgHbBtjr
-EN1FQtgaWBgxy/ZScYgAbotL8dgoWWpFQan1TYdQj7/6p09fyxbLlBlp/XzQm1Xy
-hCOjjGZhdzjuPpXcEC5HB3wFDqIwS0z388OVVYvHqghOmp7wqJndvQmRvpJyOCRb
-tWXl27ZsxiQgZsKzgYpTO4ZxcpDoWCpQ+7wVh0T2p8Ve+kXipEjoTvr4kgkR0IJy
-L6/MlslSuYEL6O28BlPUJRjmmEwN8q6u06q6IIt7RpHj8UyGQEN27yaTM3pkKBAO
-82LKz4/P4Nfsd40+O09oS+P6YumRB33xcjEwJ+Usaj4=
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_controller.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_controller.v
deleted file mode 100644
index d5a7370c49154e454703910b2646af4f34728539..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_controller.v
+++ /dev/null
@@ -1,231 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-
-`pragma protect begin_protected
-`pragma protect version=1
-`pragma protect encrypt_agent="VCS"
-`pragma protect encrypt_agent_info="J-2014.12-SP1 Build Date Feb 26 2015 20:50:25"
-`pragma protect author="IP Provider"
-`pragma protect key_keyowner="Synopsys"
-`pragma protect key_keyname="SNPS-VCS-RSA-1"
-`pragma protect key_method="rsa"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 128 )
-`pragma protect key_block
-tRzC9rmfMZmqUmZKrS6CTVyS5MyO64AXazoWsuD28JQSymb9d2U7W49VRAAj1HdK
-CQpHym82/uwTod85hiUZF5oZcTMplVNNiiOLnQry3YN6P5ElXmZFaOH34ArE8Ou1
-Fup7SYRLk4DUEM7Zj0fit4CTUBQbXDzVICqZZVb8hGc=
-
-`pragma protect data_method="aes128-cbc"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 9024 )
-`pragma protect data_block
-8tsaMDWOY/tzZazD8cO1SaUY8WUxhRlz2EpNektFHwo8BkXVhbpPLs3yqm2wTGAG
-Kn7jhRqikyy5lEfRzTNOwQs/x0qo6Mnsfv/42vQdCo/jCg+PfFIBeL2eF8EfSLaB
-kIZtcyuWtSNcfCKRleOqGL0E0aBNtvkOPw6yGIWLdwNg5kokLDShFwa6iVPxRZLC
-qoYLOvlNZFLNU0gv4DOgAaDM9R/H5XbKNKMMtPckVeGbeDKNGXXKPyBegIsadTp9
-s0kppwVKNRD2ucMRcuR0hXGzWI4L4AnqNNSwn1SdCxGBOWgMMRpouNsBlyidmZwE
-ns81cnfQoqOob0Udk4ueMnMEQa0epUksp+oSaw9DItEUa4w3bZcbsRIBGFFU7BPP
-WQGdD2JOvrdYN0nq4DwInh915xhYEE7f2KbULdpa8EDqvaNw+Q8/UFmk49v3XElS
-l6sjG1n2SGV5PsHfUFu06NfkEW0OFm1dmeAwPTcoVQ1QDQhNQWZHqUwCTNJQHKbp
-IRAo1pDhWLcUHRt95OrEBVwhIgkQjhbleAUbsL6TnJacAX0ghnAa3/y9LvpgBWTS
-M1hm1w/Q0zXxc/wyNAYAh8ZqynETnYAGAccxSC241eBMPhjmrfKQTR3lkZl7bx6V
-/qC1uhpA0EPvdgK1/6OsXVBZa7kzllJYmWbbWVEB3r9VBgWb10chpZ199fXIP13z
-UWk9/8CDufuQ9zDSfr+6FV/hlCZYICMRUkw7+GB+rNHV6QYlKtGNmUBtTn+4Y2TU
-Hy49MqMo+CooI+HMBLKIaHJIndsvVIdnyiVnei7NDaeHOsu3AK7ToMVHAZq5EIG8
-7x9rlA+76AMgU+6lj7rWSTsMI4w5wKtlmiowNcVXAbzE1Ar9Lwr2zuhfZJFgFq6h
-EKjC6J7gBo+7aM1JADJ6/ExP1Y9vG0qKLXl9WT4UoRANVLy5/JZAB5Ka6mI3vsXS
-rbzeBnGP6ydSFDAu3C+8SC+UtGfvH1Iw+FxWcIOOyMJo5affiSJROAWLzzbxPRpn
-MPay8JVFJ2rgXPLuIMgXavknGzTT1PhDiJF8jrtZ8CAPd8ZXawKrfUuLSqXyYv+H
-KtRRx8jsnieSQWAI1t4L0WnbzrfIyBfZqnZJLRYGy5lO7SuP+z7MSDcpQMQfc7JV
-IiUGq111WUYIZ3O6hD2htaGem5XAw+uDxzkQsIUFRfD418e/rXJD/M3nq0HWtxi8
-xlJy8ltqwLa053OJ8JVE1UnSAY5C4zkY4BXFwK2czbDs1ZnIsMbRwhDo7q6St0Ar
-bJ8dhv/H0l2LFPZbr/71JEEn692xeXdp76Vyi8A8Kgt3WPp3Nx9V/RK+qrnkHjNz
-DNDXhuAZ3pKH/l/LKRak59p0ImTrjNhl5yrw9Qqax8WWMCO++nDSSsjQ94eoXjMq
-xi/ZQlXq6zYZtufLl9TomVtIHg7oHBLreBqlKccH3MF63eS4IYAFXAtFHYqvThP+
-uSmjDyDP5d86QnG203H8QbthjJzFr+krbQw3lFwaRyl3Qo6wtZcF1j4tWNKj/TvO
-MEfvtCT6ViXCvTRX9YKqVKjHyg1gGOFibNzuPIng+Dx4fllJy53AF7P6JwmaWVNi
-mIlTV5mF9iOHU51OuYea9CDdtBzyx0zSlWkHBbYSlm2ssZX5GInhupuuQogNHYv2
-4W7Te1Vo0kLNlzQnPMPTcAkadCzHafsqOyxNpTULDQKGXCYkENyCxO8mK4SXokhb
-0Dt9uU3jlv+CqqZDwtS/i+Wkqq3M+0Qtr5MHYq6y8WmzcCWPqEIZoDHgcu7/MQxB
-/ibJb9D2x2BmYtClCzXAwSVIMdS5CUIay1V6JFn+AKp6E2NoxBo9vnswkb9E2R3r
-LcPfA66/kBYCfcbemEx5L5jZiExtM9/EuYWkxnxOCDjWc6cR4nKFczPl4qJCpY8F
-iH3/uhrhfTQs78kpdDtcFddl3S2oyiMIrki/5G0uGh986yokNOJ0OaheXST+6Imi
-/1i7yZRybcQ9FsjMyjbUlhc7njPh70r3NUjA87JXNzqfR4uubwLqagVHyrtFPAo6
-EBRt/xNl38FiWh+yreyavzC+sHIF06I+vGgZT1yl6TZUpZBX92qjJlcChJQhLCXY
-tXPosToWXnODg8wOtsB0l/NSXDnBSVz4nBRFuNbNt1Fsg5JJaWK8ed/4/Pg5Ck2m
-f97CqSWW9rQYUfE3dIfaTHfDcbWrwl6hF3CKSFc2uo4bzqilPjCEmXf0C3GEEOu4
-geTAw0hY8KDnQoLuccwJvXshx3/PP8FI/ii/v+9hf32C7SPDSRRlKgEpeuTJuFzs
-SRqSz95CUNhUR01/id3sQZM3f80gCnCw1AMoz92irocXCTS/q+P1K7nVLVBJIl9F
-zgZGPJpJI3/f48KCSup0MumWfzZEJlVtmi1r4BNiLkhmpPKC9391Aamme+gM8JpL
-88WnT+UnDdnbB2bFU9utPTcYf7+xCc3mmJkMkJnPByg3hOBGCDHN97I6KB6mwAir
-VgYW/vBbapTIVfnjuoVtustBqqJ7xXegPTb0GyFaa0XfA9xHbsbywo55ucgKziMD
-DFiQnzNcOV07idYvbKpR0JbjifwCqiI5VNI2yjCI0FijMMKRSEaE0mDIEQ9X1+4O
-GjactAFyRmMcEv38ym31Es5UcE7OsNMe+5jKCUF/VD3Ohj0/GnlYTjeiKu9nbf6U
-PP8CuaGkP2bawnA5gH5q8V+0t2zkf5JG7pn8gkF+5/ceGRmaP3HALqSUTfTS+/IE
-vPsabTDVwL2ANEF1OCtRKOtNG201PhAaCDjNkfZ8o2XUOh3F12P+po52X/eMXxM0
-4kzUmrSt/t44wM1USdIVrX91zqBOi+D3znYL4NMDFXPnvmgB8sOKWPS9vnGkElvh
-9BxffgjBpbjXTDoo9n9fF7UVSF/3cF0Csoc25atsZTs2hfBRYzWUrC5twrF/dnFv
-dPkWZTgqrCx4woJxmUJuHwJU8rD7Zz8cEqXPBgmv/SIZ6iJWS4R10T8JxiH903dr
-oMilIdh854jmrQtTxf868QK9MXgu+d9S8DQ4jm5CsFiRT1TC3FMybVuFMV1QLsJS
-Ed85lqTGOTxmB2LtjXuK/RpXFDAfMWr65KmdyYQsI4n6dS8oQt9AhiPtawpUMz64
-JW5xkaCLIvAcsbGU8bDWOXmfdp8Ab3G+TiQ4eWsTDe1JaeukiHapCPZNsdVzxudU
-wtBt7rIHPVKW4oPyKHToVl1b/z4tu19FdhC0eET7ec/02SlKH5JTdiNdLEQ7fHUo
-/SsJjXSfTaCEiR1Db/NsxblCItlCBwn7szEwd+OHd1J3A2Be2MMfHyTRCBnGkw+W
-tFjr5Z1ESlMPkMI54EsRgaQpdZyoHsiAxPXmeuNZvx6CvrFEuCfCUsChoxl/sWfh
-IjpOrHaOpL6B6rkqN7ByQ0QRgUbOkxnOEdwYXm1sUmSYrJmWfLXskBA61NQKqUBS
-AOJAgcgIi5rOrdF5+hSd6Q3s0zVvkm2bC34KS4iz4W/k5rRSkf/odc/JnGgn/rVi
-v+XvanhZuFRi/uNh5WMVkDa+QW0zg/z3kD1Yy9Js9+km+ARoueGO6wKK49MTcysL
-KkHcvrpVKbI69OVeTTsF7PD0IVzH7UQHz4y/GKLA59r3G+6G5E+Ctv06fgsDeasC
-u5U8O8Q6XNN5HZ4X8GKTDu6h6VysnI3mS7MwAHwwYAW1GfIPDgIj0F4ppOkpeUJY
-j80FApu2BhXOa/HffDrf109hqNBiQurf3v+AE4rnGyq4owAxjv8ECePBXpDsaBcE
-GVuUAxhm6HJIzcFPVw6SjltMf56r7TGC9M33ufdK42eQLcgaefO/xh2lFLZbpd5o
-E7v1O1iT7SU93f/iAj4ZLkhPp+QNPrl1ahaTilxkA8YE9jSuAz1jyW4LjNDFDPJ5
-Mjs9jNiVVt6jizA897HUSV+mGVhSm9awC0Li2h/PWNiCll0rmsj0oBsl8KRVXjOZ
-1BYKDcYlQZfcv+CWWmA/G8t6Zqe5I1rePjpUVu2Z2oQpLB2D4e9eSw8wn6+vNZjJ
-HCZ0g2WLa9dakEZIzP6ANBc4K87JXlOjVf3MJbygVU65LVg99cA2In0GeJdLhS0D
-wW7oPSyW5EqTGchfkpZ4C1NGg/+IZPvvxLSXRLi2ZQkSiMByS6SSTIIKObam+VL3
-gxi5pjzQ96P8oed1DSbhGohDNtw5B/bviZvMj23ADq/Ti5nNI9CbVG/UEAL4G92q
-tue1x1vQ0XfWGR8Cx90K7ErbzHd8JxuhFHH24VMVy/RCkPCwL4clghyVjTMcochW
-7crWOtaxqt9m7yHtyhOmPfwNrNrUCncMx2+QUQLSRtD0Exq0RKFUNGxtyduiZw6s
-ASIDJnOk+PXk1ArLRHYeb9Uku8ImYs6T2gVYWElWVLheTgP97D+02JqXaywDPrnA
-FuokfhfQFjItWCfVjX8DRQSzPJvYw32gUM9Ed8pOtxs3YhsdyrLoRTHR42WvCUqj
-j6gUxBE59zNVuB6Im2ZMkefrZ/S2IdyLvXukzSlaoYyfNOFVp1mC5pgwRtJnfoiv
-WwV3sbzxoz76LSpZYGFbZRDCL0FczomYApLe04Q2b/gLIT1zIameqAdsvOMHUmUq
-nS+nr1fxAi1GXLJxGqb7RZKeawRCN67hOLDvRgS7c4/tsyc5Exqe47NCO/Be8oW7
-Qt2cgNQMAAXALIkZV7WDcjlAb959t5QbNeiz0GWJ3fiHr6xiB2o6mjhg9cEYQTOi
-NdY0us0uquiK+fBvJPdjjp7kXr0tyCMHTyYnWIUMUAHbPSQ7pYp8LGauQ5vl3Qyf
-EUyjFKpgOIPI2O28ICsyoRwO5dmexvZ229bUH/f67PQI+0jKh7wBWGj280bZRRYk
-2UDYgvQVfenxOZeFaWBigQUbXrC8gTs2msreaXg6/i+Rh2kdTk/8ZvMMVDb3Vkjr
-HPaFmWIy3jHb+IESn2LxxpUXGc33dmfqXsULhaIbqSj8FeqU6oRLcjqU/WOKhdXC
-DeF3wugUyv542jIljUXRnKOEvgkLYhDkaQ0Ry/cgwd6tA/dCvXAMygGJhxlKgjum
-Qfwq4HSaPLwCZUZCChz0HYRV4nzy6aP0SGj00Bro2+Ny4EaAhEAgYUh9xJwI701M
-oAxMHhTI2dgchUSCaf2zbJygKy2RnmvAPEEEEIH6sPAx83huAFTWomzyq4IkUa+G
-xaz4D6C49CcnWCzDKGCiSD7YMmQoggj2P57gA6janzZBzby2XIvCgtg2cq4DpQmJ
-MWaHZazPzGOpkDGkGpnJPWX/NP6ZuBPkMfksvJueKI0bx4xSH+VmSwymixvruwTY
-Qpq06cwZJ7DCrH/ej2y+dkp3qbP6FNlUj4+TU/BaM8vKwCzfrIB1L8/E2FZ07MGf
-8s0XceY3Vgc85F1I5oIAB9zRibBVFIv5QJMtrVka5NYQTjwAX2W4wO8i8ktZcZXZ
-VuRY6+rUS6GDN6lP4tkhyb1OsOO4ADxBCJLuwh60NsamrcUVYmDRz9/Pis++GEyw
-U9ezt3d32HLfvBrcvL5XE05CVyb9b6Gj9/+RdAiq3GDaZvLxER3DkXVt3Ho8S2bG
-tkBQtRQp+JT0LzBDxkSnjkb8MqU0EtlYIs1Pne/ZoeTflxwM2FkAgEZ+l5kccE8K
-iDGkfXjVW95Qj9xIAnvsPaCMj+qPtSXAK3xNcMIm2oQIQ3WSy5YFEVPy+sXArxxM
-NKQ7ieRaQ9pgOo1kkxqfOMm5I5Z41Dd0rPgmcTxQbX1Y5AhqfDNprpe8HtdlgIOr
-BFQBi0yTWgH65TYy8wGU1o5ng5BCTh4jfDQFv1/MuE8CLo1+ONOzgnS6cOY66s90
-0Lnrqe+HgteLsnCqBCFsH44T61EjcSUASoBWhw+0MeAzlOu66n7Lh/UswHYKND+b
-p3Ts8lR0kjkKqn+oK4xjuq55wzkGLILaVwMTmhq2btrW4KaGWu7xELVykuwkrFnJ
-J3ufJVPCAoPJxNat0BDnDqfZzbShkww5QtftmMtmahL1XyaxlkhMdgtT41rQGbxm
-IBfjvEqbli8K1oe9ultjjqzUtnSYvoIlvk+3l6mG2pcsljL13HFCHUGwk15QKf3G
-2PQoL5htGBHpN7VDmI0Jdf2NU6MUqgTMHNZ+34fP4jrGeR15PBVEkoxYuc2K4cVV
-LR2B6CNoQFMjqKqL9L5IEVcQO2RBvkNNfKce3NTN6Z23kbb4eunbDpm1nLIxxhA9
-fzu8xZTbyXpjug5wZN+0vZvp7tcvoexcwhHbjhn5kT8tcr6ECWkXIfaMrZCHZaB5
-RH8/D++NmHYifNPdNTcp1fz0LQEaNo38DEFotiQcWumzkAzW0zlOZ/fjE9UwGU5o
-sUP6wUlkcZPwbs2FL0hGEmLI8lhHhV3jbouCiDztVhxeCG06xa0kQYD74nQPpLiT
-sFysFF4WHprp7Ph0idzPzx83qt4dSFz+j3lYPAcfFAJzehNrof5e9lBx2rTp7y//
-/ByBfzF0zDLDvYuoZ3verNNv74vqDU0TyRQ30H7HlCULBv7w0ORLbZT/6xRmja+V
-WTqnadNLhEmksKW45/lI8eojQMSog8VdjbvEy4HDYNUTjPnvHfRh01UjjTav33dN
-PgA4d/mVEg3xdk5jrAJEjGUGn1cYFk2/F3n3IwA96I34KQ7c/t4g10j2gSmV+Oih
-U7feEYJs5zXNK2Zyi6dhFuZUuNn+2x0EPOSSaoXzmZuvJF/19Zy+0s7TFZD14of+
-qHtbnBU2qk/h14hhyzCFeCY3Sjmc/JZ1JfuFzdoFWZq2+8JVTfSmPyMcJZwrzxrO
-CNSI/0mNx/EVZm6yBb/mKh4UK7gXEeMA2a9ylnLzJUZ2J8+2fq1FnaBA6QuZmqvh
-CsH81xpjqkE858snZjduaddWW5jYQo7USxaC5k5n4HvNDmwhXuy7T6yFzJM+uCaq
-7TPfZk8usrAAHZuw9dQ904j1TylKNizhYvOaxu6dOVeF/lqVmGo9NYUDwK6/eqQN
-KJwhSYzyZ0iC2/d1go85rm1wsFxj1h40vnBMG3aBru0KaFIoNqOMKuJHdB+qkb3h
-8fv8R9j4e7KxXLydLSZC8ERwVDTPkzHFGnLVFWS73AY4itcXDUMmlvURvH69jTDo
-WZPmUq2Htqum89c0NBcAHdA5eNA5XsNCjDPzKQxn6EupBzKriaox6hv4Nr5eRMgP
-TO9l/kwN5cvbtIV+Q4pRRt/B6Gl2CnHQbJLlRKX6XJn/L8t1HqTs1ZwXK47AiDaI
-O+VmF/XIm15UJCh2dfLp/sTBpaS5Q9ODTNJCxSuDTaXxaS+5VHpSXJb7ePHiBftJ
-oX9UQnuiTGEvDZw+wqCxrpW96CNJDIixC4lMIyV3qeVpw1SEBEjzvH3liUEvqPmw
-cNNSJiVl7+BfBbzYpcG3q4xKlOyfZ7d1J3ji2nAv1byEELN6guuxlQZ8WopBBMPj
-XU+f12fCd2tiGFM96XO1Kvdw3mHT0wo7LUNc/w4LOpd7/fhyEchQMG+s4dmvEnZH
-D9C/iEBjXatqRSUis+L2gZpXaxAmZAqV40ZY1NiFqK5bN34zINOj+alfBPKQPhwM
-c/qurzFmHGygibteM6AGLuyvcjvSU8t0EpfDGtcr70xplQnvZS2IxNKs4zGugLGV
-YsM5j+a4fF3sQKtwI3zRraaEg2kZgcUcmb1zjWJ9LwDjGd+fI/JSq3VG7aP8AEVT
-3DJ1RBT7kwPNlWoFtfTg8wco6HPLAX0mHrzpo/sg4XaakuDeaX2XPHbQzutU/5tn
-SGeXRiCUzmAFVKwziRhNw7pggJyKwxtJqwB8ARxHJmCiN2hqJFxgdkboz5ULmiEk
-DJGmlng/oNqbq/Xy9RPObj2pE1y6/tJMtZ72AeSOAi/vjsXlf47qUUCSSQgZKxNI
-mOytfDxcb4Bfyu4P54f5bfcMav6XWlfEE+jV7TvpY+enEN9rzvSRfjtptWYQkeeB
-6nxDj39hawPBNZnlq6hDgI9YYeotuiaUgc//alkv/eejaW0+n9kPI4ve6OCY+4wr
-UviX3ivnCpg/3oCnt4YlObat0u/wyfyIyAJ0xkI2F47Ej3vbu0Y2qvlYYOnRr3xS
-LdLKVwxPsXmEcPSs6pzBfme5ehh+8xvqcyFrWEvvgzEkeG3+uqh2bFdtZ9ylC75Z
-+yVhd1gw6TBta5DWxCjdKzgQbBLpG3V/n69MXbR5z4qadGgqeYAxm7PEfOjUcwwj
-525L/z2HVXI1CwTmKa/y2JytWRubY8ciKXbkh6zuvsyDIDCUnjRDK5ZAhQVya7Nb
-JT6CHeVVpEa/z/NVpZ9R1qTv9YcFNnTuHMldTq6/xccS71pIaezrVFvB3ghrrCy0
-yxMH+ng0bxKOuiHdeGV7oMgvGRcZnxI7UODHL1bfsJUzB6J9lDhsRNBZSkaa6lB3
-nVHZ23eW/0VOQXRr+ZTpXFZdG3rJqxz8JRhsWT9N1clu8tAjq6MPmMURFtY/d+0A
-gQO1HMBwUDNWS+Dkgragpv0RTRCLRTYJAFAnHRToYwjNy8gAxGNTGznLKaNeqhUP
-WEfvNsCj1nk/s7jyOfdujmP0FoLhPun3jj4uPDA3vxB81sJpvjXoy4znTqZ/p5PF
-YGR2mWc4gjUTZN3IqgSGnopahCdv6fTOUPgNos148TeFcrF/kckifuqazYp0LYvM
-UmswRz98CzUTTxPFtvmtlbhjDrVBxOhQ8c3vi091T7K3js+zIxIVMpRtOmHshTLw
-BI6cD5gfqKqNUJxwwTw6DC2CbajUHMpM0huPxp7dtzhfDGAaGLPbvlwg7F9gBCA8
-MTjWlD8Dp7b7Huf+a4CMU+vou8UPHM1bmJ4pvN+DmAmm9YptY8DCt6QKogFt7Sa0
-Kj4xDXgz1u62RjxuwD2zkIJDfAxeN4yymIqSYBlxNymIGyUKmtBNhYC6VwGdrOJi
-6cqphwckKKAi87g27/8XePGNORJXy1pjHmNnqsZoK6vT86mG6Xt3tnVztjVtci1A
-fl6BY1A/9WNcjk+WllzviDE0X9OiVqYoHASxfN0E4pI01TwJ52oa0c7AeJ+Kn6LR
-qZJcSYf5E5ZsfZeHaLSq3ykXA3gvigV1r/4wZh5mkSuRzcnYHcA4tYFF3LlOX9gh
-yxYfGgTI1jQMIrZB6Zfs4JTxKLuiJZYmhVt8SzPQgAI8jZrMTF+ddRxlCbIxwjQP
-0w+9GfBbNyj45VFC+PpZr77moAB6VWcOPNEn+Xhut8D0NIIObECWdpiv5EfhFfk9
-Bcazfr8KoAVVSuy6Ox/9Xap6D7hWH3fBTkyvuHjowkUlb0SH3FZdfDDCNkL4gLgd
-Re/QpoddDswz4y7//RWR7NRz0Yd21xV2JXdVNz7GsNY4hz+BUUAq+6j37wV/rn9o
-BzYr/FIyk5Ei3SfmtXpanu4ZT2mkFmroPGCRpmnU6Vfr5pJfMV6fscphDsKcc1KQ
-MXUb7jD2JepuwYhG+tmxbnzJEc8tP74dXweFtfY3XgDN8UjnHNQ8hUokYmNwvX+g
-g6iBe6nsDDs8k/4KkXjypVhMPuyAvEQRZbs9HtCGSCws3xLYOlIgoKaMRtjhouPo
-jWKl9IWQA9Dypb0lbRXrPDsmT4OMBDRmTxZeiASFb+GzZezV0qwjgna3gVNX4nH/
-8dwigZAS/jPSP77nvZW/aBVFtOTH+5wGgKniwBLS3tOpJ3Or25lKj+t18PUOJ5Ad
-8rpZFUWCqzOcCuY7mUcbU8a0zjvxvkW1vuQQrQ+zSiO2tKVt1TyaQzZSFwXFNkn4
-IGejRfzEKYtFjpVAKVtFDoa5u/LqXxJW0dYvEXqcA0YmgBtDELdXiFWnZaA3TMtE
-ZExLbYdD2KxAsONuViOdXYaubZePdXfQ/p+5tNWbahbfqzStWbbRahZgtlJx/evv
-3j/Re7fbmU+Ko/+6RPD0N1FWn7n0gpqGxfbEU6XLK5Q0GXw19NGlREm15hUmYN/X
-+/mwWS//XWuTT3eu3LuIJlmnauBN2jMboZABZS2VgUJ+MLnX7XUklIVJ8sGlYTTk
-a02Z2LCQKAtKih//us9c5KlgayhdHEC8Tirbt4lC8pb3FOJTeRoxn5WCJg2OsO1Z
-qJxHpcyeK/ciArhoNeyZVGf4xcIpKp6ylHalTqvDzzyqFywrZ7qGn75FG2pLydKQ
-hjXFYLc9D/fR/NVg7h59rlRs/gYhqpae5Q9eIHGhVPDoywyLOuOqfgNExJldnF6U
-BwI3yZ8STWXY+SAEDCwryaTG3wWakNn5+X+xrK7E22gt4cNtZfBUZHrtWZKS1TS+
-ZQcYZz/HkWJL0LCZW1lfAiTuDK20NxuyVWm7Ax4g1PW/wK80GcmN3VGwmwjRQ7pz
-WJ1d3pH1arr6Q7QFjTXGrFaxxMD6/Xw/FMQ2lO3y1Ii5lpFiz0xEGlLS2aRokq/2
-4kiPS144JCxQB0ZdBxTw79fiIMCNvr38MLWbFXsaK+d6SsXUFcOnOtIAuvnBjnHy
-DhCNSc7e4M1CLEbMsq8V+wG5XQZQRUj3zUqQ64u3BsqkLuzv7f6e+rFxM1xZBAUH
-89DkkWTGmsn4p6p29PPVjd/I2M6guavJpeWmv1i7yhVinpUVwzdzSMa9bhs54PRg
-Mp+4OtJ1wrNbs3Zyj20A6NkX1/95oohHSg53RYNBlcSjQXh+Wg68SpfYjbRnYPOE
-Wb9uCOGH/t6ELYN66HXZjl1QpWNV5E34XNogSaEuRzV5DzYye3RcDr9SPXJ4QOfw
-o/aFM7+rHW5dDdSREwm5MisFt+GwURXbnN+ySLBzBm1jE3vbPq+wwa9QztEWTLVi
-wvCj0SpSm0IH/Wbt6Gu5DVDoUZHu9zcFuGHiONMa58j2yEJcNaBjuwydb7bUrOpD
-3t6dSfi7GWq9hy0ZHL5UVMMfyy5l9k1TbtFD8O6De5KtFUEbhvcZehdE24ygnEO9
-tuPJ2vJRfRavGan4GxTHnIZTvk6XdEq/V8Cr8T6oKQ8q+89XW9knv/g7dnP9Q6s7
-2yU1XwBs4in9ynkmi36jLodLG0GwwkFxjEJGAlpTfKeVnESV85GYDKgPYf8Wet1k
-U7Cr11YCHH3L3vQIMu2At/IErz8T94BE2q0ifiAMgfKBcbcV8frQv6G2QKNx7iHp
-O/uNmVWmtk2At358kOR/I7SZQuG6dJyFWKTh5h7Z4T5GNQATjeEtyw9U6kOQiSqu
-S5Gl/2m3S2rZb1hKc5cf/KrOz3bUh+UAo8SiLW/ou2a4iOv0s8H/ySZfv3BSJy3N
-lHhMfpmyR1WEVny/gRwQFomHsdpfQ7c6OmUs7QQEpd/JjnSTRkyv7Y7Jj/TG3QHv
-yGGOc6bsHQzni5paCO+BINfQ02mohi3N2cjGzUdbdgkvNKwSK3ixt+0/DH+e3COK
-XqXWW5bradSMSYw+8D0PeeSdI35Yi+0ZyOfDCAPpiV5Hdo/1RoIa68TtlsX7peb3
-0TTBJ1LsLhozd8sQzlKXF3CUGg70T2qDL2CUJDPUWH4wAAH61I41uoX6vDxWLY2j
-FcrVLrYGGSkg435/IIb18tO8L+8ivYlXX2R16gNaikWpmeAmjZcySP2Hwym4UQWS
-eNgWZ4DTaE99ehiHf5OyaVqOfhJYNx1iH+vIDIYVPfHNAhp5IUZJxZsMy0mbw5L3
-xu8pRGAiATYY9HEQi4JbJiy+DXtg1KYEtsPXYuZWVtaGvOzA7Eul4vXSzfXz1hlH
-bVbLmEv5UeVQ7N7lAMuCt+O/9ZdsIoah2a7I6WefxxdYBHmbSZKmcz3xju7zLQrA
-iS2GvbXdDA0/pzyNnBj3b07u7Xd4/vwx2gWAm4Yh2rwU0XR6U8Om68LQvr5BAoDV
-NKipokJUpgPxkpjZMjVGa5YJuNp/aiWFdInTOmDw20HGvBpkGt9U0zZoFsRjlPzE
-tkyzQC6LIUMxoWZI3k3Grsc4BfJjAgi+hvjIH1N1Kt6TwD+MwyvVk87zxnAfi970
-91Xpo+OidoSE4WVXGE6/Hy7omKP68HGWWkF022LbnmwM72DQmsICcrMGGLoyNQR3
-FOPiXf03kEr3I70C5IpuIUhh+vszjUbkfPS1aKp4H1yogmB/gXx3rr+o9OsFiOpy
-
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer.sv
deleted file mode 100644
index 0da2b7e67283468a6a28886573a99383c664a569..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer.sv
+++ /dev/null
@@ -1,419 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-
-`pragma protect begin_protected
-`pragma protect version=1
-`pragma protect encrypt_agent="VCS"
-`pragma protect encrypt_agent_info="J-2014.12-SP1 Build Date Feb 26 2015 20:50:25"
-`pragma protect author="IP Provider"
-`pragma protect key_keyowner="Synopsys"
-`pragma protect key_keyname="SNPS-VCS-RSA-1"
-`pragma protect key_method="rsa"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 128 )
-`pragma protect key_block
-LESxnbQ3dkxPhNekd7NoCrUftGBY7rR5qoLq4lw8+EnlNI3rXzSZeyMrJ0Phm3rz
-uZscvKWclE6ZITQHGtsBhxP8446Ola0LK6nl9bF8UXL+WdOZNgHaBMOLcNxvFyAp
-RHd5Y5O7EwhaBBQYFJYDnDZ7N+x3Hziq0Y0yw+Snf4c=
-
-`pragma protect data_method="aes128-cbc"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 18048 )
-`pragma protect data_block
-EON9PIag8wM2ay3sXxUnzA4iaFcubRZCToS0XMjHhScrqRG0NeS9zVnI2pX8YKAy
-A38X6vItNhAsbFBauDQQZs/GUg8FrX2mAepOJXLegfOvD7+4ySkQY88NjksDemsX
-tYAHeaNKFsy15DwNi/evRdqSG+R4ZZPuHCnsC6t5UI2xQwUAYIBPQbadZ5prNpQ8
-2SYfxlP+GrZxW2TNTpE212r6pW6vd6aw8z+gCjvMTtiVUhbUUvC4MUcylmZxsUQG
-py45EaLgEITGDrycmY9BEbkcnW/24yISmiSvwP8uDvPbwmqHA9BIPC65mvo/tts7
-plVN4Jg4gjPuoTXRLtuS4ScDHpCYkCcl74JldSg9hWw5rZsLIRboDoyoeUdHU0Cu
-Kue7beGg/SVnyfp4CG6LBYcNQJOxF7QIa9/CiMjtNLVJZCOTsM8d+wEG5kH5suNB
-K/aN4vhyza58INGPAP8bBpxRprVLwIT456Pu/6qrM3FYZWhsrpFiJAnDGKf0wamc
-OrpRtHStE/ddwsDRcFAk+VnqSnFpgzOWA7wY/QywgGLOw8rjgmnv3zmOMhRA0AiL
-Zuly9ejd/qXdfbmbl+nbjCqPjQt7mcBjPrktFihYrivTNGZlebFat++3jcxXMsCI
-76CFW948yTe0hn9AW42hUdqnB0xHarsHAtEna9QJB3CCZduL9H41dDbtI4PfoImH
-77a4us+eSxh4Z+qg3InIIl3uwmq51XrqI/v/ZkKrrMHDWGBx9SBdGNrp/JT5wc4H
-8npP8wXx2DLSe5HcI7/VMpwJG40OVXlbdri7W47bBTpQyWabUm5mSEc5/0NbHTOz
-tnuyXFEohCvFk90yOxjPee4cNK5Fzoc6vF9jrhjHQd+hIuPdWVViIqWl8I8+d/gI
-IVJjw/qW9oUaNbDMYNPSkw+EJEK8gmtETDFsICO3uwyN1wJQtkDvJQcm8nt3Fgm9
-DIckC9U/PX5AaBYQfvASp38XnMHCMnd2bNGlzfABPcAR2OiEsJc/f2xogQ46OquV
-FBRvX8R9/5rNCFVEiRAyCUzExAr9n696P6H3pp7HoNf5OUsObxopUUNq7ZpjlJtt
-eUQmKfTqnxVRy7A1xN/Uoh4RIPwmzgSCrWgXwMnZlA6b3Zaj09nwNtxj2QMF3PY3
-17BnzKoswuB/zeybQWs3KeZNluqwzBt9yJZO0ChljXqw14DoQaVIGLYDAbStVtNM
-p5hlYBsZZzBbOMvQJVNrTnCRdYi+kQV5emDAYfkQVY5Gs/nTD60NkUtHPBLndhD7
-+ZLtYKcorCQFXOAO+BQvyI26L7ef+/EmR+Kjm4dkHUc+tSxiIT4FHIpFEsaYB6bG
-6yo3YFgQHlGTxQCTkWoZnBtiCTf0c8x6x/cD4lE3zOp3Ubf4XbvGrn0tsbvIsYjg
-fIeCvCqIP+HLW5koVWsyUWsoS7wlfgloTxy3NTgdflAKBjkfx970cqfN7pMIllSP
-gSwNdgtHvHvDTSXNRdhmIit9ZdSz9QEuzlaA1Wq/TQvFVT1mdsvsUVUikHQZw9GO
-JtB2A2zr2Rjr6qzlpoGZmHdvb3bSAjMiDoHpyjSyLtPTCw9dodFDch+7LVdJM0nW
-ILUldcuicVGJUUTb9NlfhVTDV+/SnVnGlhjL+sVcG6bxsyo43Kw7/DzGXwChOYOi
-Dy3HspAt5MusqpHoR+TrsCfMU+7OO34Hu1P7mmYMRUYtt85lFBTebZjP/FimTp28
-mfwQ6CHjU774WucDSvfrbAugLgPMqdWv9W0zHqJCnBYgzwUmEoGGweYDB8uIgkdI
-BEjGJPQIOj7oZfplDmrdiZvqaWRFpY5+eH6yTo8isscHe1BR6/vLbpVSKr5wOYVv
-qD7HejD3Vw1aA4ywbj6PKvhgL8RXfMcRm5qiOi3pocB91NEjhpOQAEzLBNY691bX
-pN1QmyrW8IgpWqMccqqEvhSG/8BGC4HrxqonUpxj3UdIFwcQwj+srDU3cGddOWYj
-3+03BFO03cWHGpPJflp65r89X3uk1Bewu8hf2WUWQYYobSIiapiDxbndVCHyrl1+
-Pd/Kq3H6xj8Q31a0oXvd9Zxlxg0tBEEIRUDaqpZoAjXzEerVIXEcLcOyuuhwpKxp
-oZ+4nQtGuJc08XYn2xlJFwQwJgFIz6ciY4JjgaYs9wJkPLZPSiAz/5gFzAgaHX2I
-451wX76PnT7U47ZyqAigJoJ2pQUa4MaHZGO2XV0tEBT11fr8inwmBP+0dtHxFPjs
-qz+EPIJkCphHay3z1D2EeS1SkCTnry59qHX2n5DxpR7qoPvMTEaVVIz/IAkR6s6M
-ZjfwtLqdDWcXFrZZEzZJZxQeqn0rQIkiiLV+6rkYtQ1zuSaD8sxqeFgmuVYKbw7k
-hdqYm9moWPwUwh0+2Tg1Mrmo77q7Dc+axQQRDRV/Xc6qbZ5jnLyxGq/C3N8fbrX8
-CLfHOy3Y5oy8ZvbXLCNAuRRMokQzuPvZtpePtelUEuxBgyzeGw6rfdZmq7Uw9WOq
-a2NNUGjT7vad3naf+QLFqkHadfjgVp8HSXMVm3rIFsIZt7nneu2A+Sh51hK55uYG
-hBEX498DzZ8GcsMTtqtLwMeDUWWP6UCmnoxHMfnPV/wL/Jq6FpmBKLKqynnaQJ9L
-sFpGbX7JP2Beuh6jWZLNUgd3RYAGREoxAYeljitKpbb/RlkEESh6XaK2/ZEqU94a
-3bTalgitpMilIIVbA6lYKBu85g0ntJKOzV/x1IuvTC0VmctVAtIboYw7sgMap+a0
-sv+r5L+cMWXC8jl8A/4luTVIbRcVZgOVFb4Su4lgGju/vaBw5GLVetFTl4VtrtTI
-ESqEzn4rx7U4M9cbhjolN3YuMZUBr5X3vlwZ5IEljqj9sENa1hgzEptHnYrqVlUR
-dMv80fJOtMZvZR/jwAMLQjus0mUc6HOs3rava9fElumEap+q2LtSJqLLL8E+1mCy
-fMJlan1Xlc7davwQzvXCtfEdmRh+zI7gHcGQDjBldEG8ovFHGHsYWgCI4J60hQEA
-c290S/angL0u97O32w2zCABIHgH64F++FbzVj2LV5dUN7DfKNX5rfWrpHAmTzKV/
-sthm+ybCqdrQ8OORylb+NbPyfFK3HrjNzJY8VVBqM2SIXQSrnTbXsx9s7u3thhAa
-s8G1r0V6zvZZt2HYCvn37aeWGY7Mj3vkpa0C45dYaT1IndwZTjC09raa91aq1OB/
-3Yy0LEN3qidctHz3NCth24JFI74a7CsaFW5bQLu2whcfLHwgQu7m6FXh3qZXi6qk
-gFKJFDz3ZgJTNnHCEx7y3KgPVKiT4w8OMBaNEEzgH3bumHy86/nt6kA9LD/Q40Qc
-uq7VnIWiwQzEOv9ZETB/aQ3th+/S1uV53MFGfm8khRVSfP2e/0W+rRg818F4lb2z
-TGJJA30a11f7u+m0dMh4xtvMG61eDblniJso1HHluZmRNVAGrNl8ujWKNwKDvXcQ
-8M/lfCw8CBoqxtEaizKKt1W/GXLrT7nTrbvmEqmckqHvyFnU+IRlji5VeJ+recRy
-+eV1cDB/hPBDiZuk/CnSDar6XHJdIpt5DzsoEKWjCZPZ1mP2MK86pLGMgab04QV6
-SFxjScweSSqbTmxOTj5Smg/eUQ1eShHJbtXtg7ceR5BuvQIitbtR5mj/Ea3vM/oV
-OXqUuTMDaYwzdLGGfY9yZm4USJa5UBIvZJ9hfhUTWEg3JFYljkX3slnKHBkuKgtq
-5ico2T5elquKU7JVWixi+bGLOrLC/mUGFYbeLNrT67YGExNbUaa2nu8K4lLyBLgd
-u0D+r67HK3pmYV5MgmSVTWAqRQZZjoAtsuNkafP0VoYhmXsp5eGGRWCjJzzu6Ums
-FzsKXldBpGn87eV3AY7JipV+Vow1DOQ4D5h0MiMIlpmWKvKQKWJuPSheD3q152Ws
-lbZPZ9oCcS39QzpacunEQYn3NsNzdwp0kex0d2vwxNsjDYjb89UfPY0TucYRhlIA
-wQuAEWDuuC+5lYJg3TmbnR4gSmCr/lXKcDdeCTP1O6VS9UflB2fXeAIihTqIXlWN
-HcvIO2cXbciJGiXmTsakFaCJVPJxJAmSOFx9XiAyAPJgJkEdpoakzt9nTI6Lw4fz
-5uZQ7h9SuNfD5+TlI4R0y3bk1x4r/hgH52aM+Jv4cKSyVQEs9VjPZ0SXRVSnXCyA
-B48VVzAP9faW8/2zQkkTIiLGgZCYtw4/ijzx4+uWDEJ0u4A23Y6SeL64v2F/iXGg
-64YUhE4/2QZobgK1h+eIFLN0r3hZSs2Qnl25CcSBF4HB8ThlzxD7lCvkCFXq+Zbl
-JiM9/DshnWSk+nlQQV7nNAQK+fwjiumDpP56uKob1l6LksbAaum16Hvi+bSQWZrf
-jdL+op/DKEu3VPmdjYqlhssZWm7e5evb55ceT9OaFAnsqJFdz/qlhJcu9ZcVJqiV
-/SGwqDWTNKL/UpC5N2qJijSJvQkwNg+IbHx9GGNQ5Hf6QZgqrF5XXnvnggeuFr/m
-wjcWxyyDHSV1dmqEhIJNihUbVNxsbBZMWgHsv4fz7lygeyQ1sMC1YG0DqVUbYRuT
-SLN3EtDtFDKdvpjJBHJilLhr40Vk+yUbDNEMIL1Ams9aMNIN51Ee90+TYyCv0qiY
-kGL0D1zOMOa+qX38+myUAjhcJkGbXQsNC7wIdfzUiMHx2pLOD/dJNIXttDaqjMP5
-14eA4ZzeI7G15oVvc2CJv6TtLX723eC8mFKUztF2ApvUUrqrEpb4kBElpDTYHP76
-8XSjPW5z9O3CqqZbTzYbUu+R8GC38tnUK2pR6My2NA3AIPOEedgaJt9vXRddSFng
-Cd/f+sxSktsVrxAzViOsQ04/uZQJLlPINZaTJDfh4vNR27g31vpUqutEHPJ9tdJG
-wbOmpEcBGsdLPI0qtYPvMyckZVeY+u6Zo36FxWwvv9x4yRKsyE3YRGVyqfQ5V0fK
-bHBek/w9lCkiMH19Ki0BFSyAELaj4LevHTBFO2ZRhpqa+ytTXdYGrI1hzL76KAEF
-X2GDLE0aiz+pcSigfHgtPeJH0qlsDeSXjfF4+r9uT2SOVPI+SYCzg6rkwe/jYMO0
-wS8pKsGv5XIYpTYNh7KWSRN7tWIUg8vOdCXnyY1D/adNXTawH1FamEEXuf1Hxyn8
-l3Fb01fVlNDiKyRo3K3nrdaMwA4MPkPxPUQDgoaAA8+SDHFzEZwAuSfy3+6Wt0ve
-plvphpsOcMXFaYYizNj71gXUzQ8DLw9vpLeKVbOQgwiJxNQNJpqYFaYC9iTkkd5P
-CToU6OGTRaanUEzGKE/sziGMCdAbnjP1K8QJUNwlOUoXnS2zq1QAnqWlKdj2abAi
-u8D18oMxr0wzhKdgh5JVkFuLeKsMGVaRYpvGp2J9Eec3M+vjPUt7CrMIPKhcxzFX
-HPQLM7bJxPUBVjeA1Hws0txFXJLu7lQe0IzRlgiZMR4S23gz2fW8zw/4gJekQjIP
-FwmG6fYR6At3KO+MczCmAQleaj5njtZV74vAVGEMrX84RE2gHPffx688ryHmQWqY
-vX24AtI1gxemhW3cxqFh85sr0k2xj3iqn+vlRGakqLFMW7AydYtgqe5syVUeJXEB
-OD4MLxbPRaWUKePBegEuQlQDeq3N/7EH4StZsLlCBOtfIacUQf1dZDSDQuhkzz5J
-5zAtbTVkEzDljvmRrWR1vspk487eLc0XI84yFghaVH7Cp5WG7YEIvEpp9/pXecgs
-I4p9XkTXuUCKIgPfderagXX86VN6rDVOTLUx7a79RHAg6vKwFU54DGcOpMi/f9ej
-hi1ZkRaVBuwZbDraxIoaFgJdvz8pjtMLkIoXrnFiVT/HJUumu7XRuG+G6QdwYFHt
-XtcnCSvCa1ZJmsRiqosHIqRYOFyh3EsXV+H82jOHcXu2L8yKbqB2L5NIx/B4OOf9
-a7XQVXSWSlcEBHn14dcWH00GAivGhnKvyRX1wxb4qdnmbEWzQCWgvsTYtOR0WKjJ
-rbxlUKr4CITALpBW8UGnKZCF1URdLTCAgwB9yIwHDtw2yGdcU76iTwqTBLePszqy
-bnvROahUfrrcUe4WTXvGpBJaYOu8JhTKQecpZ20X6cZCBM1vJ59WKT6xT++6uOtB
-BKZIW7ycD0BxJllkY9T2rkD28jvgi+WIK1MxyE7PBCT/dmiTrS005Pn0PI2TsC/k
-GKEq+2LRyHOfSpdFS0OlOnk5U4i9dy6Z10G4v+zJkQmPAunft+CrNQ7c2yXEWF+4
-31wt+7Qv4lXpVQKpaq3GWb0qrHI72sO3LtdfAtYvDHAaENiCop5gvzbDN96KHw1r
-6wClWqml7U6Tnq6U47OoEPS1yDMtKRzOlisReWPbzLN1MOqnlCh8aZY/7NyMkXBV
-TPaCoIxSK7cKNzIZYMSenK9laOnXr0wJKjIAfUlcqc+qWE66d89/0nhZ6Qg8WZJ7
-OBMC2Emyhq+cnFIHfL2klP2hhZIdoY7UyKEnI1WCeKx0W3g8SBZLgzSQTXQQPWku
-RixqF6+I0bfj4l0cw5ODXfGxpcNvzNIpNdcqeaTVUGfk2Mqnmz8sVH827XNSBxRz
-e6akh3JRBd7UGJV/dftGrV7szM10NBLeOjFgmdD/XIeNAGR2d2IRDkypWPqDhgx/
-GOB9ItDueezlRF1uvA825/NSXqEmmnfbF2htPSitH+LqC40HjbsA8gaf3jUyBlV4
-ylIWYjlRtuRYpEL3Rv2yb0VqoR0ElgiyPHsQ4YwVEAqJyP28ZBy/0wFRVaQ/5F8Z
-TI+GDDOs+mkw09fz5u6LXChWmIu+nDGFYUHSCW0Q6mQUOppbjewnmrx89CMHgdRV
-7+wJlj/OeomlRrThrJksdlZy4lL9882D8lkrXSG9urpZfrprEy827xXNBffLQ2i4
-GEXWx/ZCg4XivslKaHKz4BVZ5YqPIx3u6il88sai9V6B4/wEKIFf6TWQ7zvc8lpb
-xY4CV9fsZ4qU/AVVl9kax9kdJ6tRlV4Ajk95MFbVx+4pltvEDcWnerAJy22Er7PS
-v+AFc6ChtgNGLHfNKYl6tmVR+XmiAW+Yr7eMViCby2oK1/d6RqE4ek5/lVDVKmfu
-+LsUzfs0a8mHFEMwVLZwz1eqT4WIuUaxCUld/8O+bSSppr8Rio5i37L82sCdGCU+
-5WK+jLVOPoJi7wAOAd9P5k96oM1L5ehPgmYYHyGl201+NHxrQSWawqf0PVnSpJok
-yzTYvgJxA/IA/3KJ+cMPkAR8dtn6od+FJTkg8mPGiJces4+hay0KgneoOYZhfxfY
-BBq9/hlMr6X8mtNqtg0nK/GFiiY66CszRPwrR3s/VdnlbnDF0HUqSSmPNt6WM5em
-GkMNqtfibS731BKMipGIyVfq0k86jJfGLoKIhkXWd82oD25cHNfZj3Uurtrx/pxV
-Zop5UQBhg6lmqxupWfj5Zb5DiC36fT8Pcn82VgZUhLpvkDNpphKshDTfB+sToeLL
-yeCaEMdYi42FvZP9dRJvyjdIu5z+gWz1In4KFvAc6EolhzCFUmQsMjIvX0yH+/eY
-fhqhc7q7u3CmeygqSmYzjEK1lZhvg4uCdvpPyb58+U3c2oH6m3hv4dS00Rhnvxpx
-uwd0Nf7CQQ1yGmAjr3Vj4Ht1Qulv0zVeCXOC5x6JY8XXvsRCVGjtEtJtzpQXv7Ru
-MlYEOUQplpfVVvUrLxlfxoKiNcKS2jlEWJbgpIxF3gEuOe+g7rw8Aif/6QUQYvSl
-17KyTyLPNC7J94VBq7SlzAjBv8jv5eM6RyVFgQQc9vW8SINzW+4AzNXpsQ9Ak4sc
-/MGnDO5SKwyvJVmQbSnIAoQTjo83YuIe8+2Eb4aAhsk5U1pYOQxj4tvfnyzbWhs8
-KPN1GQ0LYtUbMmtVhtlzk4BLW8J3BO6m/xjgEzVOtrqeqDlyF1NfFIu0g6AjHlzY
-0T/KPfs0iQtXlB7pqwYIEcXxbbhW/16bIF6N4SVpiQH74zGwAoWvEtEHi2TSzd2z
-GCgLwmICrkDnXTQzIql2rqponO9X5CrP6mNxUtepuya5Dqp5FP/t7mTuYwMNI+az
-njRBgh/HeZX5G1CuyM7kaAjEj9XMmxTPLu3pKAwDCCrf5D7z2FTJnrKAVHEVVE/2
-2GhpkRlZ9hqo0U+mRse/9IWrHxKT1FBQW5qr6B5UE1m8GIr4jyMcNctTaIkCs3OY
-DqP/CZkzPaJMxOZl+7cW8l9oIerqoczNLwXikttss3OqbKRXXhWIeN/3Ch5o4EoX
-oUKl6Vic167zHvWgp9pQAZfYwgln2cYalXvCRYDTWNXQtFsUXk+mLwaVPyRZZVtq
-b360nR387hvC6p0Y+IBTtQeyRr3sVJOgMdMenhKN2T16+d7n7oEKv7zL0yQlA8n/
-AahQRX4rnHfOnfvAJqYvGZIwnE6B7nb/HvvHQ8J2io6ww4t8golDRBjrix+/QEIF
-Rmp4aOe29hBYJj+wfAHN8dPqIKFVjHRqfwDl5v+SzV1CbDqbqBgIYfeKEK0KXtA4
-RAyyaXOpnBVhQJDLwqTKw5Jl0AZ/n97WQ955sorIfsNkWF5A6vcAMDNb9SBu7ezp
-L+N0xTa1pe5EZ5mRGOgrPQJgYpL0o7yrRsqERwDOTm3q0noptQfa1c4wU56ApdqZ
-EKX+qrWX8Rox5qaKYfpXWweW7w13Kv/dmaCSbfDhD4fNdkGqzt5qGCTiFQVZsE2a
-120nNQ3/d/R+UvtT+XhGBNTcu1BcyNqLru4XfDGbpbPiUqbW8RWpg1C8MvjEXOBL
-u9+ONo4tBRHF2Fr/zOO5/LkkRffl6oBSKTB/8kPtNMcDwu10tea22M1t6p+ISu2R
-8pf6BArhq8v1vWsRCKQ6tX1OgNLhrVLalee9SlsPtsDPrxhh4UPWq/zLFkQXP+yS
-MmIBApReH5BvjOjAESbKrBSz8mwVM3Q06KBb6Z7ElBBObxQfE83H0ho2h8wp7gj8
-WCi74R4g2b6B50ppn4y7Uk2jY5ZN2SioVf8czCzqdN+Spl2QgB7iTByOGyQbJZ+Y
-u09whK37lyar7hlWiyVUW+hxCsKbR12DDIqnFxAo9YSpRz4AzS8vWn/4d1mSGlof
-mnztrmwTRx3tco+LWAFo0yhRqpUqPQPZKlKGoAsN/xWFiQv+N4YN3M+yFiAh6c81
-OQvZsBseZgkLCB8pRpZ3n37m0qOr5hjXqWj1XBb4EWhOCsOUuzcJ6XI8aMee9sh7
-DDenQ/x8PIkNYcx8VpUiaTznnP46smHhYs9M+oMkO/HbM6ybH/rTDCiRZsgZRrGg
-atzD8/YapZ2EULD0enTgb+bK57meCt867IqdT+pY2y4kIiz16RYrIDRN0gps1f8W
-lJdHGq7V0rbHVTK6A3uZWN7Z1o7UjZszuid+kNODVxSMq8JrtjnFUmZtNvhF6fxT
-0fK9ST1ux7LiniVnAhafBQOAMvSjujYhxbtonLtzzfbn1rpLdt72jPUQa8ATckdN
-pxWM2Brpf29WyvBDy/xrtPiY96BOfsCBdaDPtl5v94u2Idz4WFA/SF2lBA8jEqGs
-Z2Z3farX21USlEf/fh0ZgBs/tCwhoisZnPH+oHyM/YyjG+gQJOigMxDOWJzvuIlj
-siZZ/a2AtfOZLZFnVO+qsTByEAWJh61BS34HQrls2iERoQsDyr9cMUPWhD8FWqjx
-x7dBXs4A3VZiKZxLc2nGn5+FzsU470fE3HYxeqDD/iJovU9dV7I3ZT5rdtmI4nNK
-H+5J1le6tX3mVoE+tdqzAc51pe6pNoD8CcocJG8Js5G8kGrLy0MZ2mio3fBztRq1
-7A4BPNhmHFpVa04rgxOOt7WYxCuWoNSUMEfQseAddn4BJgbcDJ+YIgj7o4ncIGGs
-u3MFkgJBWkSO18rtdTRAnZ0n82dsgGF+1FAf/Hcy/uOZrU+xpPc2sZyKIXAbSiIB
-QI/SnSfHn+OOkSPImETPlvIaLSgJ4xYBgdE8BkYVrXHooWkQ7vdE82hhSTfBMQ7a
-TiwKk0P6Ttq6LE8+YOtSWKf4RfyNn7siSuuJBDWMR//KG4N7bUibNNiUntS0/nK0
-po4Ya6HEZuMqHeTqRYuXqC4z2JXi+8rqnxQOYe6VIEQnq5ATusTs2VUZKnxSWSXL
-gyUzdJYeTblktkH1JNyibJUQomfp6PKPJWu9p6w0NbHP+uXmVOLsoRyjOC+3TfeF
-qaiUrQ2ih/FszGX4RxVk0ZEDswzUTlxltb/RSFoZySHh04FIELPrHcbWsWKIIubh
-RU1mI13Hic9uCPCQF45Qm//zWdCyIogLmDN1zT8OFdEjv2EzAXZIYtbroEhasTcJ
-j73UzKqfhEzSlDyTcdnWm63HKGn2fMCukZxd/g5fNav7OWX7tjokwAACz2V7kWjh
-wJ5b2mAGxJ8DA8Tt0zq71L3730JO8CpZStHCTA3vBRAY6r3KmKBas13nzQgWVw4u
-BGlIUxzjI8CoFY03RGSpkX9nVN6SQanf6Ney1KeFdgDCz+DkttA4Mx8doFRASRSj
-vfgojROqKzHXqBXWiZIxZCWThv6LtOEUZ9tKtEG+npBiYI9K1VqtoFWnTet5AcNH
-v5QQLgccoKlDMf1+RtAtBQkXi9l3U+MCpF4EpNhQbddwwgnAiY9yvZD97Ohao7A4
-ZN7hfEBahDUN3Q+6sewRLap7MbrPrJuS1+N/sl171lCfF7uoOo+GXm0EPdS8yfH6
-k+8Y0wuryWcHB16odYh0bnLmCEGMo7561H3jv66dRiLDokKroSWONmGFw9VON2bw
-UXN+jtZCA5uZ/9Xylch2reIYYC4GZWgc9JaJB8nNe4GAmf37vn3vjvQwhOFkQzUS
-uZWz4/z2FqQJayF5LD2e5ETgqNoaGyoD4U5VThAXTi5F1kwgKMJEVktOXKtszuAX
-hYykJR11MkrzZ+NeWQdMvzheRhip+17ZraPqfNdadu3beU+odLUkSRGlRoxQY8EK
-k+ZVVBAZ8QzDZjOegogQGm3DsgEJHXu4Row6m87EFjnUnoExTOSiGahkyg0gKUHN
-2gZ3OjJZCTvbPjni5SK7/vmcYa+f2xHUDkSqgo+vuv+ho0oX0/PgKBltYUnCF82H
-jYen3MC5w/eo1hbJug9ciOoyPUDATlkKaTaecIV6A/k3u0P/cB03K+YE7wb6qD9+
-ONLCuTMnYXNiXXEtlPSASD5IdWcgHK75Ae0OdwhD9shpJDwdJ6E3g22xPwwEHpAM
-omfziVUIfF5+PijwoqtRbF0/xO30igGy1lSZgWgqigOio8T4M7B4//tjMUbPmTqZ
-bBtTciap6zzH8LxPQ+3P31OuykvjrPPj1xYNPb2svAicDjKVYdx4yYkYpC0uZts7
-hPbudy3gZgndrXotgVJDdJ/Ky9P3uRWFWa/21a4sd+kEM1+SWiafFJNB6jXeikOz
-9wxud6gTMewSbXaUMDrlJcZw4dZ4rRKAHsJAGR83sHhy+RF8KqB5KBUf/KX9tGbr
-HKhSyj/5yKlGzFuQsCOVEE+nbKU0Rqk6JNynDPF4dzbT81HJjIomWd7DlYmZAjYm
-29ZZADWWhHKDtWDhwzJ4t4NoEfWgIKiEW9jw5KsX/qD7MkK3dL/O5Tjp4DA1IBni
-Mhq2M+KDof2lAdOejUV5cE5jf7b31kwgzWVY4gi2n5M4EDB7k6e0dHXvNJf6c0fV
-tM1OFTRRDNCdaV4Qb2SXgqBVfUoQmLEb8hxUNF+8oY6NR3Yxsc27WmDo+0GsEKtK
-mRpCJmoitGRdW5sX2qRn3/FWS/3rTHo5YlKLTnX+ixLse9OTMU2xJuB/0Pk0pMwm
-lNoNtdrHMY0pF+WeSjH78tq7BLpdOY/kEHwGoX47TK4px/2ifoFYqaKk9R4sa/o5
-VabQPTQE00DMm9ZKMKd9JukmEePEveBEbVk1nBqDasxDBuTAq4v+NMJdkrCPPyBe
-mih59ArX02BOlT04V58GRiM/SDzGMomFMCf5iDShaBEWZJ/8EGo/pOhZPky5nNbo
-jAPjP+gVqKrYkRi1t7VGQtsfyDnDJ1Uxtp86UvVhaJRFEKJUo5limN//7h0QtJLh
-7TjrVsYGfJuepoFQTxXrgir4D/dZR4ir2QfDQo6ixp4n0wW2Tef+8yzT9eaSyoae
-rE7pHqMzN47MytIgclGfsiBUVvZdX/YCEMZ+GCq0lNEMIMeXG5WWVoc3WsgmaGZF
-z+qYEhgobh8M25c1bRsQoCjs+sK46cv8BjZZsepXuI5NzrBe/O0465WUdZuU8ZGU
-DCN2V5TzFoAMQ65nmcwC48Jo3mkdC+yHKlhTJTkIDRJSo6IH1ImoZbLc2sbTEG60
-FpjGygHeg5BwGbNFhGiGJSjb16tMPw9xyy9qbdRCrGo1KCKmYyoJIJgQrcSBVtzW
-O6Ffq0Ri7rJF5XZeZzUwJAFwHb1lTyB1YBryYVlN1CmzcYrSfH88H7v616MvbJ85
-2sbGV5S3Gy3kux+lw8MKjTfU7rdi/fkyG9XCLdZgJw1CHGVb31JhI2FM18bi4+2c
-yOQhNfmXC9qtYczJLzRtoupX7ImLAc7oYJFMfgDCiJy/ubVqWgL8po34/U5BRF1M
-25WE1rQbLqdnc4bE23jcECp3G5LQxgCfRElykA7TVk0yPz/ZOKLP/89Y3ZY4hN56
-+fN8eoBf4jxc6WHoes539i4zibvNvwttft1pFUqwCdX0cvtWqpomOcxyaxCgPVmq
-7wYLYVRC8iH164h22m/OEOO1vGZoJZ5+v20R8XIaNBTOs7RnIASyBzFe+J/6ECVX
-ca51JSa8WGya3Espezfya2rGOT5KZIawpIHXiFuzsRCIT5qrpaDi8Pv4tUMLfGC2
-G7A+rPKk/RhLj7+llDf+I5J9sQmCrbpFkEmEEJR5W0sXI+fprtuULqfpLtb3XBS6
-Gb/QijgdBps+ELPj1wx/njm16zIugHlGEGjIIDoaHy/yskGyfkxM9qtO/aLpXeh8
-3mnwlCuTea21EBfsk/z5fAPX0EonhDmoetfkuJ0Y3cw4OykQ06D+L5RTWdpyqFbh
-0vPEWQuUeMv1hi8mng7W7uE/V6yzYMTBi2P98AQN8LcGSSDLVrc9t/u0JRbbbhkd
-lu+e4iZ8RXmf9zSG+vJG0re2dxaaS6MVeTrNUrV330VMbR6KHoUfWe9dmte2szhv
-7+bvll4ASjvQ8uGM1Loc62k6tNQrqtroRtZScJeLk3BabQ9BcBHvM7OHqw6YENZG
-s+O6lECXySzyIUQYUAA6gLt0C2Z3JljfbNDLGJVfE5kCZfAqUyq6ZGFlcZPg008G
-NODjufRUqfiIvWjjYB+m3/qkKHj6SWqDl5uFAhcO5Nxqb17m1XrcRrQ4wDL5IqGZ
-iSNbx7+NAqF9QRSQUnq4wEl9VXgy29RqeB5fJ/96M4i9jcV7ZhUwdY/ukKZyfLUv
-avKMO+SdQYLoPKENjAJF6mIyB2jrmXOraqErXc51M9V/8GzHvvgJV9t6aJOhI+gj
-ijTw6qbwMZCetKkm0MYlhIyEqsq84Aud2ETLzmiy1f/qoZW++vAqnBeJJ0FE+Nw8
-hjm/wh+6NJHs9Y0YxvjnXfMRx0oIoAIwx+wVz4Y3Fpg1XxqXvbZknKGkM2NYr81z
-rZy4RSuhM9UxJ6m+KZX29+r8r797U6pbiOfFTMg96STrh3aHsmZ0iyuAarT31REx
-Ai8SMtpSdpXLbfD2ET4dLLXh38Ip3Ew3aIJTWvdQyF+3AuFzqwAcKyksfwkenbYL
-dl7hCBwMIeniupzAiJnt6bGk0vX+nI8cm9FXyAuwIrtCbuwoJKQnjRhVjoKKaC2R
-hjEe0yDDc7rSOK1SsuIA1ROjDEAbipPISjmwRmtS9Vq37trW12uSFaUWYE6kiI1V
-VoFdDJeMybEOaycTWgRkvwGSGJhfyMU1bNrU4LLbNQtddCfIxHuU4m+1qZEkR+pS
-ByyT8fpTrNHRjIwd/kmAOStwp8cSR6sarou7DL+hVZUj0BRILgcNoXWbVoSNNuX8
-MbLHehyEwVB8IMkThml5Yp5JQxauFzZtbcD5gfyFsq23riICnDvvTBDmvtTJHSWh
-rluph2xNn5LIrQEf+Vv5iOI1jfG0+US2JClA6b/WhMOo4dnO+x5/ZIEYne0eX+hR
-jWQeZx0WzO7iT9kzLKI2HQZBJd0yK6pVNQSiyentse60fJ/IJW1DfCLqoxSxGWMn
-LkzGh7TJ+piuiSKqDfSyMQGxVWMZWgrganwLpDTfNLw3k00aEUMgnqI7h9lRVYbL
-PJGu7S00CXkxoJRXvx+GQqTAW8812sldB8z2tGetyy8Rj0BKGOFnEnV1K8lgzR11
-by7lLdvKMrBNyE1rPcafDXOVbaxIw8lzY8XF5v/FGBDZ0qVANU5kxOnocv7/E8HD
-K9TOqZO7eKoSDHZX0yI2MDh/J6DBlDhKucdULfrYgu/MHICNMsMh6UgYNsnEiUDU
-GGC49uChrhmCuBRgkUhIbJRByRBIRa30Fn99TVCEqZ/89BNOeqXNFDkx6CBVRBee
-VM20DDInqeLzPBYeeM5A5kX0p9FHY62lqtCLv8kgBAPgSnsKDILQZO6sRMlXCLrs
-4KKyUnJXxrUqzjRztX37q0ZZinNAaFUlJ6mSSGOWbo1u0XHqzz9qrhweu6baxxL0
-tMlew45NDyEZ5W/U6MGhO2oYhJoX7bTFQgIZuhj60VKJgySJt863+9h3q8EUjnLo
-YooKeXVNSnjx1YjQoYJmBDOVyfE1mbd0gKsxCVz2AAOOpRTLGSmT4pCdw+X0GS8/
-zRAIw+Qf0oRh4YtlBoYPea1Ub96rlqG1ltp6rQ3Ys4Zieipn5yKSe1Dbe33ciNeP
-KUtMUpLsdVNuNbDE7hCvESvz5T4KCZzBqsANepiBkk4pzVP9fxVw1lrE4r3CZ+fq
-oFVz3m1MOwl2pUkotGLua5yFHG5qoRe7EfVSTKTZWSRAa1gVeheaDB6dSmnn7HxE
-qBFGB/RQkZrZtJ66MaL+A8BGQiTZDVtLALNBLUIHkw1S5/oR+w60SiH8qcLI8xNm
-eUSfzK/chUYfIB+tTSKTjPcu5CqXIAfdyPrMVKph5Lnx7Q4eKjeJWoRFN/HPpgFi
-bfdnVzAlThRpWkhF8i4eq3rRKNM/9/9cjwz2C7U3S8GrvOSBhUHz+qA8DMNFFzGF
-dUUj1GJ8Uwh7LwBuGJV43I0WtUqzI2QYT7O7z+Kk9FmRKqLTYXgWaplngWxUA5yV
-Gx7NGl2DKKHUkkYsyB9lJm9fBkxhg4Y6jU4XrSNENGYF8uqhfdt9YayQ/31XxQdN
-PLf8vFH1srv4qBdX8Spcpr2oB+C8MV6FdHNJisCxHHvSbe2oWZSMiGpL4MaiX8G7
-kkbk5tpZaRw96GORCARkZ1y3NTDNzR8ojslTwbyK81CRL028MME1LyvP3XGGGKRa
-9UQz5pd1uWdUNfO/nUbb1Sg4C+8s0CnTuOK8zUdNKpkiO0QZfZj5XQL14+XcGbBd
-/vi8ODdRyy2xA/zKlBuDPFNta6waGMnUuOpzR1/MmtMQwp9kIlktNZuwvqPFxStn
-mLbSua4M4nKm/6v8eEfUXQn/HYmqh6rJKtXZebZSOrQQr01y0X+vyk9zKdJkSLQK
-NT9tC21qAHQnPPNoNEz9M5Ai2DiZabv4k3gCvToMhoGC/4Xqad7gWb2Ub+uPTPXX
-folQN24K6UyeIwk4512R0xpMhkzTj6em7jc/sOELPSy0PgnmDUXiOAlKkV8mWFaM
-XF5Kj0z4JaFA48ryEFNdxBICZlT3r5M1H87xazgc+q8D6O/QA8XRI6rDBN2UjiAx
-v9bqHbNABMsHvffGBXw6DCL6FUtBtrpTbvAN/Wa2zWIkmUkdKOlkT2mjLEujJ7kX
-Xnbq4dxfqR5okDaCZCH66CIrjCf8bIxmSNKKCt/OLQZ06+tNsbirTweAIY86N5Ga
-aC8QDFRUIUdFN+lWItEbRz+KJOMrhmo9MUkaVSSDszRUzVcJ5r59a/SIhyiMhytF
-9pvfu2VwaaebXWn1AE+u8nCEcf9TNU9FZ1NihsRqd3knwMszGwj0zsj72pXgLKUe
-lBDoJrZaSHoSXaYQk5DLBcnPvdjQ8o2WFwxjsHrk0bwAe1oytREHScsA0W2fFXLp
-bxWjvo27Ei/YTxNMvG+Rm9Ayl7qnttseoFYZrtCoXXdnVZMPrAJsVx1UCv/NWzC8
-I/LLHUBl+e4EnQc2xVdKazhXqTDl3TS+Al8lxAyzBM5woylXWAmTghSBNt6z/ImT
-Vl0cuv3tJAb1qiOMQ0Xco9YvSAVUq3ErMZBm97Yn4gvwWnwMXtbyO75VWBGoXKgm
-gK6ELrXY3EPs4RV5J/roDI4H/c0lyydRj5sBcW1+IQFyS02QetT/x7bxJTD/Oj2v
-WQznF3ZbaFZ7crW3wDZTs6bawLDirGfyS+c19c7864BDKYDI9X6E9ZOiYGhMR/I5
-uBSO3K5EqjpnSKF41+0Imu/tRj/F7iOTlv+2acIr/XcdCBS1uC/Rb00Vq5R8NwJG
-VfK/9Tw28lYxQeWXSZI52SPH3BLzWNkq0mrBxa6VsLuP+NoIMfFlHU4znrS+56m2
-jXLGT4ldej/10DA9NEkP4on4EC4wQ2h5sNUu2nstiz9zu2E0nuCRsuEHFcZqg6cG
-0P2hemyMsm8zHDXJiwPYP8jtM3Z5OahUbXOk8ERBHMvDXSMO6g9XSGgw/LQDAYzH
-JM1sFA5QTnMerRtYdMxGCkxmRAzrOc8ZI5Oz7Z+DY10zyRO5O1hvI1a22pu2KvMQ
-gItI6pMlbe/udYEGk/N3tymU2frl2Vv+zTIhe4FO9EcEZAou5u1pAIaFYC1pI+dP
-Y9H3m2p8EGa2Adjrspk7n5C6Z1MLgYbZpV6TI2CWFNDgDZnzB/8ch7ephO+3IzhI
-I7vn1zSM0Q0Oi1ZLKH8uB9GOP3fX+WyBjl8oNI+3l202+YMofqNuI05Cga+o+1Xn
-SvhRjcBgIlZGKIWTOxdh8YVI8sjsC6M1Ti7fynDN2mSrJcQlhT/1rRd8WdLuVNn6
-Vr3Ce1V/RXYd7m5yP0qs2Fj0nNkPKxBzzzghS+oY0svuLJGttfMTXFblI0TZCFm9
-JltE37AyADYpE9SxkjgMmflbiG7x74CTTi/BAy7sSq+8yNGiGHEcyU86E5CI8WwD
-Vo/Wk8z4whQ1Nuo+0DKd80RgVi3ulmi0S02dmsRokvage0UW20B0LAHQqXfAWH3v
-hr1HTEmQ5V4e3GS4Lx80Kv+xZLV0KtPtZwKSTXfj0ogmO9K7w5oJwC27DIHZlar6
-3pXaxWkbTYVcRy8/TJYp6+8ndBWx0BnZI2cwaF8+orly9U1mFpzmfqQWjJygbs3P
-sKYFd4FQwAHGpmr0+Cdejnhd66Bz2sVLsnIDB41oCu+yc5GzHHp1CWD2qgSQvEMH
-mdMOcanwHpUzj+Bj2EdlMBoTykbp4ujy8PEB+c+CzYCIZTeEx5e8NwW1Dca6ebfe
-bFCACtZKW8nhLRUQnz9Mcug/sVZXJfmk45pCecSZsH/5cDMrO3fviySSEz3ElLLw
-kbV022qoZllam4U8mys8/VJE4Ha/x7uhqeVYO0QkwMARpGpQdCm0pMYea/5n5bay
-Q1/gX85VYY6cbVe7rL2CF+gbXGuvegdJfoXJ9LkHgc4Xcuz/p28fbF9/Nn/uOaFs
-BLmVNOfPwvLH328+5aFrgmCg+uYyuZ8vZeVg7lnxMFxjoCfNFPiC6jIv6oTASuOu
-qYKyMsdrkMALFyYwqIch5c7JLi2RerBNnEpkoksbxAWOBDfgaVzbFYdHB6MsXzov
-9O2GFcOMDwfkMbFXVXBC1hAmG5jITRP5NLFc8G0NyUVWI0RfY83zSbcpzVQ0OFUc
-zfPn7711syh75SbefVkbVlEOsdzP13Vqi6wgj6S1ZoSc6EGOGbrQ3xGc9N4ID3hM
-Y3PKmQ+CawGJbLwR/2MwoiXnKrLu/2xzKfGlDE7dNT+Y/AKvL2l3evh3TWzjAsM5
-fFnp7eQDGMcxWg9FV3hjRfkY2LMgCzsQvxNH0Vs7VxvRML7jbXxJZ31B/UbwrNmm
-yQF7H3rM0rVu7/XFzePskgRuJV8YV6mmapoWrLgk/m1JPblA8+rvQxVqdTmGL6OF
-kQwFHCIZhv+ZjYKm/3dy6N17AH5fwTQq0o4q1qGmJrnGiC3ZemfQiNk+oDMuBaSp
-BRM/GsgTF2y/dNcpNqqHdTJNypOUfBCKEYtXtQKRY+RAYFS0cx/M3YaeW0jkX0Wx
-c/rw1XlQ/iu52HllVakxAG2G8tgEJIrQmCVHnH0tn2DFfUQzWp79Bnee7yMyhm9O
-fl2LUE1AbqEtZIYXCy9nDt51ZwPPl/BaLGCDaOFNADNMhTd4d7xKA0Ia7ZkMSxnX
-CdWpvWuakmBmY2HiBsKQbDwNfYR5mNufKDjxEXbhE55syefY7lMFTDuTRT665w0/
-GDrmwkq+Z/I5/9GJGAoccKxPaTtLGcEp3/+evfmY+Mx+tx/ImwXAGZvveLUM8DGg
-AI78JfrqEvGvHTOL8SYt/neO+BuCUy2EkgSxxg7bHOFQICNH2hNXugnv38K/35bw
-tf450cDgHCWjiESSJLiBR/yaC1ztUEhhCAoGbb7LqUhbXtHYlXSU/KhosaCHbOVj
-8x2WMZ/tfLyz+UofMEprVowCdscqwJzjU3eTBL1B3H02TERcCDssbhCaFConphwE
-4AI7klfU5yoAhrZ9yYBcfhWY2If/nrmIA0tVCOyvJjH/t33wAJTeQux4mLe26aGu
-zvQAlZLVCa2i5jUNf9ocUeFRBoJrGVS3tVbtAIgiz5XMFUa77UVD0btqAa/rj2lE
-av1y1OmnKot7c54UA0twsCDgdvpsl09VP1STpfCzPzwx9h2vVffQqMwYKvfBYh03
-MzeVBd6X10Sgj9HmWjQWh/0rk8loKBEvzg8bk7ml9q5+yJMtR9keJWUSVYAJABOG
-jsvf+03nhOQAwR6tdRHEwpvvVIbA62+u/h3Ofa+GiIFZs0UcvsddI7aTLRgVh53b
-m0EZGOAkWbGSt0JSCwGtMMX4Nn26GS6INknWSryxC4sYJFCYJ2nqiD2u3nwTjkhq
-lFbgkOBUuZGCsfrlNpuR73xr237mtW+0isBj0dB2ATKlqh+yLl8GHF5o5Voxn+Vt
-YseJ3gsKalLZFk+p7L1IdS/InWsQmd1CiUzKBktjFZVrznjD9rmqNj2L4BeCRnbl
-lwEr++SYwTQZHz63xTpJZFt8eS+OobeUKSOBNaKoDC7RyFOSAmj70UQSqomO8cl7
-Lp3bWACqjk4Cu6DeQ6JhSRE9N6QthFNhX+S4rPbJOAImb9pVMan1zmuSnzuXoxu7
-dIkengMJjnIewo6jK99q9+H7g8ndxJrlsdfavWkDn3ZD1CWMnX7NFL1xFOTgxcFl
-/wd833bN1DC8daKOeL05KwIYqh7+gANqbB48ksrlhlbiB0/IIMgMUmu450V618HK
-zfgYDeA75TJFPwXREt/IGJJDpuxBn3G6oj8U5Ej++yyM5NWnGNzIh+fE5Y82Yfb2
-kzGaKU9bCl9Lo69z3UPO1GRKr/FqOqUQ+0s9GZWif3kExHssmqz1MYvg388GO9hJ
-cbENwCaHhf+g3QSQYKzW2a+wHglI9Zq8rma1XrXh9VQ6sqV3vVPQom2ocdcUwovs
-2ln9oCwVWGdsZynimOLSdIBSXkObVNeToiR8u6YOj7SncDnoL12CFRm58YcBUnDy
-rqosYhmYOT+7AHk8GN9gL7k8EraVNewJHMR9Emvra6Jy8D9lJJg1iZecchMtAakN
-gNLCFNSWkDo7oHKZyhLZoSU1yPSJ10/sOHnxDxK6Ok2r8m4DVBLRVV0DxuUCSRsG
-aZjSuZtdNvblv9A1Qqo4gb+SQJfXxmITooLzHgNO03O6YSOsGw+FjP7YmletrPbq
-f6XB8FN0rMOFsjCkiEu7WlEo11UJBHrZif7ayQ7oiP7YVp4g8VMJY9ZthiF1Bu/V
-gtqeRBT5e8OlibBE/Li9XkfUjKrcdGcI37TfYequS7OdpJtNrGY2YrXYcIrA5mEV
-e3JpR0DS8rLTXQCFbCeWuyIgaiXy1/FW6HmjfDcldBkaHn53gY5m8S/9er8QzGHU
-6uJ3TSHx/lE45ICCtcqDUPLp9RR3FukWxZXDR5VeRl7Yj7AryoD4OpDa+xH9wEpp
-AlGUCoAvE67AwBx3PNp0XgdrN01q52dci2GlAcFyc2TL8cMEWmFMJalT6LEqk7Ma
-gDnQqC+NgJGl0lh+Dx4HQKcxFTSWNmAcDF32SnZiTrT3hCsHhrvNaOenpSPg+eKT
-N3SzaaQMNcf70L3xCVQuk+P4DC4lUB+DDW40eY1aEc4Cuqb5F5xXPuU5QG8ebREm
-ep3u9tviTQU6Jt/xhkt/mJxh12YCUrrbkOmmYKXtHpofp2ltgUbAfFyE5Zy5jJi9
-94CbCek3DaGHiNQG9uz9Ra4xGAG6PaRYyxGrZY2ofMPvsgTrozYFMI044mXutt2+
-wZA+qEtZv7Ykh3qxrRHv8Xo0LUuwG96sIS0XFPxJMUADG1zzKGY+8vqwslk6/YAn
-vhj2qISAhgcS3wSkT5JVX7nI4CSfFRIUyvzgjI5DtSi01wZbcKIQcNyruIWn/lFw
-nJWyUxKrqMidYzDbIzra++GuF0/xm7DUG3IYCn2snvMqKq99AjXwOp1o3zjiOZdx
-402I6Dujh1MR9niwNZYxZCaR2Ov79jlOm+EgyU6ZGvRDGc8y3vlWJg9Mc2G7iAkg
-WG72zsY/ySeYQ6rzKydV7aOcoXkFxt+qZDiIIP7dz+tvAMOxkIeHqq6anN9qISTj
-kyiRFpXNmlgQGlDFetLx1fRGhcg8mEeNWWpeM4fvO4LeLt00IkXSOcdBLDmx/GJu
-9ajJzus3JM4IwRZIRlk7RU/vLkuAn5mrLAz+1x/+AMWqLack1hjFbgaEQcqyUhn/
-W7jA/rI60x10HHcIooFgnC/C7eR4G5FRrHb+ZTcR8NOVe53vo0Dkz/8FMf/q9cBN
-rsDV2w9qkjq7pdH6cgMlVwhjQ0rXrihtAeM7orBI468UzpjoYZAeDNUnFh5/WsCg
-3IwdZP5ag5ZYHrEbZYi3OALmqaAZWOubVNecjvMc8v0bg0akd2kqKb5NtuUS73/C
-AfpD0sfdWmdb6/+WMrS76J43LgfbQVwJqsCGZzgwdYnfmOmQP5DW76cdo6RkjUif
-6++Zi4SGq7k7kDpQdqfAz2EwVLlWo7F+HD8sjhSY01MdflHpOw88dYe5uMxmJ8k2
-zQP3Akgfr0rn9zBQJU9Lr1hZ1se8wDYshUGWIJm+hZsSviUaVssQ7d3HKZ8D3n45
-tsCUQT1T/hi4ZTG6BiIb3+IqmC1xaj4INCcMHBX8EvOtMnvaEfciz44406D4cTqq
-IIIKqCCsnBFNP5lMrNcUvphi4vjCVXM+kB5Bhorj6te3v2llqA7GzTDgpNHx5Uf+
-kxP1jbVym8G1YLg3yMWEcPjUG+LX3EPAsbRo6sqM/NKxEs4w8iulWAchnpDIanTq
-XketeKXcRnZ5vr60QQwQQDZvWb4u9lVhh/qu4vY247cG3Y9ZtbKRtj0uMaYvW1ot
-Jk8cm3FiNmQQpou2YCwRh/fZOSwgrUs2rEADl5za5jayXIG6gRqKELtovFHkuwDZ
-wGA0Egfk1dW7raIibdwgleNrBzzej7ZPOJKBDtUrMToJ59HxgS7nblgPl3Y0FNvw
-QtzB62la4wNnq/Lek4WdUaKaeWX4in3fLghu9P50fI/Ni/+LQPlVXgQuCzqghWbr
-JFBHj8NMPct3L5dlcQEy9grvxaa5RdgR4DjJ+5YIKtkyrIZn04gpmARop1kI/nTk
-tLwHNymH1DUm4ZVsWhKWrbQWWwxvQPZPZw88x1Vn/y+/U3uWiep7eEdRXzVturSy
-O9tO/2VNbALSGX3juiE9c8s3x1KE0SwNDaLiZmABdnBwzU887YJlZBZ4fraPe1T3
-Lt0s6keQefbbAdsVBea8NOHPcWyHkjX0B6ty1lRUYp2CqKqcj/jF1iejaVrmtqyt
-vIguWTOh9NPdWAuPc/yDIBQkpDhPrC8ATxnonohDy3fPzyYebu5ZFTXE214cAQ3h
-TxqE3R0bu6rWFkKQbG0R5Tp68yLIKIul5d6tPMiOmHLIpiLyMbd3TaoDMUAnc7VZ
-zcKHPp9tdkvAWhYObqJjJr7ZwJxMR56xhp31Q/S2lW1raGLJDSGJcWOPpJVnml/p
-BknTCmCz5L1lCozSplZaUdwVfrV99m5JBGTKtIMaLZnvGMjSL4Bqj4uweD+E37w7
-AmNW3mMis+uV5Yeeo9TrYGc9lnPCR2mCULqXy2Nodbg37XebsjIGfvPdmNCNLpwq
-hcuDfAfpVhrrditAxbAQB+PDSGRt2Z/JMW4fYTeMFSKZXqyXwBRS/PUXryHcA0of
-ZxnXvOi8DjNL59wO5aU31/Ui2ozpLAZJkxKv62OWlpAKKpLVfF/nvZ+xgetn5avp
-D1NlkyaOgkFigiAZKi0S8okEPChUPBlHgCXTeaWb+iOdOJe5KzcJ9LtEiMKfXOdG
-8uSLmailKbcENHLdqlD8OtaMqKRKq8OZIO/DhkcJBLS94ZDmAkq5qW+DXiMb/A1p
-TXzAfJvMW5Ib94V+sk0ElB/1kk724NIhiKzDlQRpGXEvUAhxPie5ICtoeo0/YZh4
-kGXdzkBcK3rIFTeTOQ/KWK23v5GX5SoBui4KfpghWC4Q7PCqTO4FFkGoc8pr0iR8
-qPfC/htZ6o1psqRyeIQaPa5HB3m6liTfRv3JuhsKOEPgVeQPGZCWtA708t24xj0a
-/Lrvl+vu7Bftlc6/TEWHsO6V/kbUsLBE8TY5Qk1Eo3BXGDZ0/JczW/pfOwmfDTrr
-xNYusxi9W+g9i2lW205nl7i17/Sg1FApK8XUrFpRP/wSjCJWc4M3cxghsy1izQ/k
-Lyit/MBmG78aD6+xOyxLZQJZAzfppnjsWjmElO9hhlmY8XOmDNL0AlkVEHhkbTD1
-YPDRqPR6Jx7Z1TgdCByGDYN0xhxJe84pG6QrYEtcNPyWn9vv6QN0jV3oy6Wku97s
-JkAH2PIUzd/mY1HKa3VlhU2bFCZDsnIoW4pzmTbYY9RQmRtQFDThTDGZ67SYk5Ey
-8IPNvdkJ0IW6S6EQnZRPdeNeXMwS9QJKInL/+ORsjTAcbxXBdiLTgCpLA0q+QwAD
-DTwTpeF2u4m+OloXK6uCmwvJJzFhP8goeOPTD7xsCpObi8LGZzHm79RMe9c38ma/
-ikbsk9Xim0iy31cz4rLbkkoeaAD0J1UNaEonpKwQ1x9DPZCUifrM62UguYc3TPdZ
-zhGG92oSDAb4JyR63fU7f3ciNwctsHhTvnCosN1CAsEDpwqtNKA2iJiw3EgZcj0g
-Uv+GjDBokV6/z4nJFzod4XfHKNQJAa6Fjdq+GbXEdQF0VOy4z7daXSZr5642s0WM
-4S0rfQ0PnDZeKVnP/1Uu4H2n4OgiKahjEPO6uDiqjZY57bumKlwzzkjT/TSeHQdr
-N/NviMd6kkzEweI5nUTeP/WnFLpPuXrWarbsds6tdy+KEFcLzBGqMlNXekvhmqUb
-PxCe/haRAr1eXEvqOyrVMaXdCMgvd+Bfwlj++BBvREL/4HG2vDStaD7jTXO/IHBd
-JihQJ954dDzarTgt92HEsmQ4b0J/05l/ycKByn/Aqycy4nAo17CwqkQNWQ/CAN7Y
-sy4nixrI+p38dEFybWlpbSFzuH9GM3tyoK+OKNFAo7bu4g6czFoG7DCP1gNKL4lX
-knN1V8GMQSNN59cA0hKMTH3gLF5Viou/KoBE2XT1V1nE0IkTD5O3O3N9VZGpozlM
-tdtuNNx4ga36z/ViNtluWO+VAbI+DbInGShXEp55pNm37MWvJ0JR90xLc7OTPbdS
-XZenUoG25WybmyJceM3jWMPGShVX68547CywqDNE8TBmTK6ZdYxXsW4tFEGVCAU9
-H5zoXGJElpa+Ig11IR0O1NHXCYaRasFC7grlzyt8NEk+WO10qFmoBb26MUFwrVXC
-M17q7O76V8jFDVyzhv/M/KthAPgP/acfaCG7ZSalzSlvIBaz0K1NTVOhlsfNA3eF
-V05580280w5EMjKoaIyjiSsE1COGQMvzIiOZuxu/EjJnwl28CmSR5mahKw8O48pO
-UxBt75Bc5atVB1gHBSkSTHItStrE5kE9oXPndF+i4n7yMs8wPJHEpYnNW/sKAaid
-
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_av_csr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_av_csr.sv
deleted file mode 100644
index cc69d6b4e07a3517771a38cf5e81fa0fbc10b7cb..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_av_csr.sv
+++ /dev/null
@@ -1,304 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-
-`pragma protect begin_protected
-`pragma protect version=1
-`pragma protect encrypt_agent="VCS"
-`pragma protect encrypt_agent_info="J-2014.12-SP1 Build Date Feb 26 2015 20:50:25"
-`pragma protect author="IP Provider"
-`pragma protect key_keyowner="Synopsys"
-`pragma protect key_keyname="SNPS-VCS-RSA-1"
-`pragma protect key_method="rsa"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 128 )
-`pragma protect key_block
-d4FAfWmHCyz6nC5NsNai7yJeBjemZEUgygMEqFVUYAyQala6BBS/KiSBBbAu66xo
-YI3oZkrlk/1r9SkqzaNcanjjeAtZ1GAYP5dZhd8k/1KgeKfmHvbug4oHhUyeMQIX
-ziznqHfHFWBVBVQAjwweTlo1l/dm91fg1R+X+F8i0GQ=
-
-`pragma protect data_method="aes128-cbc"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 12512 )
-`pragma protect data_block
-i0rVl92Bq5sStxLqBR0K3t/JwdDaDDj/n8IhleMbyGdHTo/qUkMqlqXkrwDsxYpF
-0OjHcy2WYycnlGp3u3HVZHnXSaWsjPXGCb3kqDlK32dyhD18sVkR6Qje1uQLIFOo
-vw5TYOo0zjZxoPWdpWxBnhpCDDnwiQD26M3A1/7mwQQivFReY/xEVDTVLaDkRV/O
-TAPUsXDOaVE/BGs3CHvrxiUHsJWGy2+woo/yXSjnuJ4egU8qcw6iDlWnFOe8Bkjc
-ItCdt01+KSV0wpr/eBSHiXaK8ql+B31NvIooKZLZrBQ5/ZOOO5tvR1IT82aFM13U
-OCsUc+WkyF04Djdy8Im8e9zi8WDVaCVcwMqiFRYBgVmQpa1hgAWSvOuWvvpvxxHy
-utTH/8Xa2YK2fncG3ksGMWJmUT3BuPiY882H8+Molf/XBhjp9fGoxRRqxzbqwIR6
-CSue/oSo2B2GWOQEwExgz568iBiuvQu7mCp6vsYTP23KwLzxcm1FCd1DupH6jqIL
-G1ja/tRvOnA0BTkjJ2ZfOLQGBIQi8x0ChnwMqFpUNnYKkbDoleNtjZ05W++IBtR6
-qKmuw7n9Z7XibvgDfF7yL2qITKwZRxPhFze26JZEtt6cJ6qypu8KKl8Re7gU0YYx
-vzwGKx6nUqezd8ci0B7W8mKln0a9ySbZZ7iXeOZLnyspPPO/uopeLpODXlx7DMlF
-vobnxsW6CDeDDodARd/R46AZhoXVtHd9ROdaN59MVp/jZLXk7j6mgvmuYj3w6giJ
-Oo7MazoX1v2P1sp7KduWtCdtERAidgovYylSdCFCt3zGhZ1uP1b2s2R8S08gaxT3
-2Lt6tE/i9H52LATYasKXOsvzUnRsuu/wZIsgy1O2JlInyksP8yKCyQPfuWgTbOp4
-Eq5Ie3X2xw6ZmGBehBoglQw/ZjjlQlgYX7paEmWky55WMwyuwqZv76vzliIEDjnF
-qpolGoFoZRZpGkr1V/CEcNGZoLMINNTnYZRa6och2or0tOWYeiHT+5beXyKALf9Y
-YmR969WsvfthgCXyJbjRMAiHR2Iopt8smz72+iHmrYmVpJo1sa2XVMa1IB8yJu+2
-SPi2+ycbeeGVjBBw+gpa4Q6GV3ex/EMqtT2qkAe9MYRVHE/p6NXrX9xq3vciTvky
-H3GA9EZNuQQKUMhj6/jcR1okuyGjldm89NtDOGQ1wbI7GDsOKV9yWQoLCdnN2Jq1
-iGb3yuG3dOrWl8J0GuLM0jp9RlQgLwrU06AmCittACX/PAUDGgH0c1K/Fdw7y0RU
-e3whTLGQkWiqFDWdmIGGjfxrliaO3L+ZU9YxLgHsc7xjNqnoGyRpFRL9oclkpKoV
-dR3QOWrIQkBTbwtKyyRuM+Dn5Sv0KtaQ7j+E9fArwgyz5eRI8HOoSLGHMcNsHaD5
-aDQcdhQ6XvupJKjgYKNbc//wC9QXIR5mO3UagUpLKxiK0Cf9vIu2Ob2Qlbif981v
-9nn1vqIZKpUPGMGHt1HdowBzyGgAtSZGFx9ZaLZ1rQsLy4Ba4Y0vCrph+TIBYWxY
-yfuZR0dQXoAG6KcLBStT8Od1irRJ924UiIcoDudC0M/phIx98ghq23gomAlVSQsU
-kvmMH2tdP+KsHSnSpV+W8IRbOUTg1rZQXqS3BGN+0CX2C3PMj6fPlMJMyzPqLQyr
-IGdzaFjtjwDC3qpDZWVhLsAGbNS5zAC2Mn43sMX+ziG0m+5Pkv5YW2teRwNMWzDK
-SrUpJx2eGg5zVs7zH2vCaKWp5UG7GFzMAuEpCcfNMAUHiH6jvEMJpNKjqsnZZzzk
-avIF+BFfB2KnZM7RRdEf2vh3mow+GPyC9U4R5bH6mQ/ENy+UqvpHj88+cIZ6PjTG
-cOOp9+47nZusxuvnhcFLwqHWv9Kp5Hp6m52MAYeKBQA7+KOBFOLlENFgZ4YBYAU/
-1oBXldIbp5pNlGYy8Xlsb4vbEUQDJc0/Nol3R9R5NRQQI8z9pdqB+NUCzvj6ygJm
-PkEvUU7OaJOXw726/3xjx213ccpHTo7djrLQqAT/Zu/Dvo6WXjVPIormnbcrfVMi
-MbEpo+7MkFBnFfCogJz1ET4Zj6nJiUp+dG3D9MxkNqdXr+VFJiKYhXaGuc7eEDg3
-8ebq2AOl1Sy01XckdKop4/EgABPZ/Wo1SYibJ/T8VBro6UyaGXhIIXWZLgB7906o
-ObnG7bu1LWwy/nlpY3h1PyNBrAyhR/tel2avGM36i39SFc6KQm08xCnXV1uoPruy
-kboMrZmor7wVN2ERsb5yaMta01gnrIf1JiR/FmDUUBaSx/M4GgRQ2zmk0L1/zRFa
-YFNmKJgXb1U4yvO5jCOAj5b3TdU3KP+0HHPfMUx5Ph47shC3qZr85pKbbf4iUMXK
-iQF93mF6rgEp1LQV1ZOife/ehze7S0k6AjQSrkaMHthRsMjJkiTQobY9qpsooP8W
-x+WPSVNJGwgqPAR3svAobXHgAhhYs7U0hfFqKAXfcjub5U+YCWET7DG3RdhkPIR2
-cRtV9vf0B5PuokBBebHdwaa0/vmY7waiXSEWJmAEDx7VWYhmnsoO7HzdPcjddIRT
-9ZcQbf0YK/FrLVuUY4c9RvO7dFXdBMUJxbIC2DL+lDKGlNitwytKxKw8hlTqnjXT
-ZBiG5BGU+ubJ/62lL4at4t0q8JHaVgKo6ltasokKu/dEysBVQsMaja40JQNUjD9n
-DsPomjxNDN8XEJZGm1NsgN8IFifUJ1yDN6Ci3H02xNqZvn1iX8EGyMwy6q21KGU5
-AqccH+9mtADUW72NN5Hdev48pNqxoxlZ/xQDT2UtAl74UYqBoGKLF5UvXI1Pnz6B
-tudx4sPqiiIDKLGtggQS/6uwWv4IXxoFn0zi6nQh+v+NLqCN5HWigdZxc9DnYVH8
-Z/faMdqGRun6lrzxkfUCm8JLmBK8Mo2tYZ0m0di4vpbQ02AMQq55cR7k4eycbJs7
-WojV6Z2LBJH2+E+ADvpyK5MeYHQfAYQF8HJBIYndbBgIbQqeqeVMXd2IAXhaWmfx
-GzLRPSESsJAqpsJFv0ifiizI67SEBQJSQQD+ZGE4bPX8OC7kzRJnf8A9K7aE5Yjd
-+FHfF4+OCcIpBErsSy5N/rsaRb36VmT9L3LR5fauS3xPPwCeW4Nr8tU/9Bg6fdKl
-yh2exn0xAZ+57A86dqcTl+deVmQHWklMXBIXXVyNOUFNX9vZQbhW4xiIX3WzTBnJ
-7D0eeV/gsG7w7FdQtOxABtK71TxK46CYSMtK4pjBPDAUp/6tGGH/gWeH32Dl83V7
-qKaWey+KmHflNhMdrLVP8QJ8mJAS8UayQucthDD6tfjSss3kDfrgBFTlkz+pLf7W
-OZmPpeS3AlNE9gO3WNa+neipHl7GZQQgECGouljW5tWNb5mbIcgdnzyqGfVYsIxM
-Z1MfBUQ+b1bTSDQCGIdyjzCHybJp4pNLvIBXpJNR5NkmBrjaVFoJt44R5uK/vz6k
-cTSl0tzPdMQEW+m1ZLh0pYJt6mZK4eX6hnPORlDiYuE6B0Ugb4Lk9e2YVYgqHpIt
-enoStMXSIP7D64Ye6onM23Vn+Q1n7FYe6l2+60CtYgoLmjLUKCz66fXTrMbQZni1
-mNhsQaEB89TuCEsNzQZMOUkG853I4CvAO1QLj6HO6oQo3kA7MyRdPidRLz8S9+8e
-xtcDVxdFceIvv4p8R6+LXGcwsZ9FQJB06+CKu8gpN20sQTA0BoYgNqNy6rUIhGL4
-LHfR7Kh7PUZKNr4V6bn7Ai0xAkWBe4KNXnN0m1eCGMdKVBe/C4rCtYLnzADeOeGh
-Y8+YTPDacA6+yJ3bV7oaYuGUYlGeEQ5wdPfAKUNMnX9lRlr+TUGdwgfwf+04z4GV
-lWRxivhZjDC6SHteFTg0JvLIV3cN+mQrrHvnPemQEHlhJRfo2FULu96LNRl+TQmh
-xYnuyFwZnAT9H1oJhNFD2mROUQG16/rXdGCExTud7bQYnvAISfLTJf13uQYUwOju
-J7izQLgHYtQnzqye5s4RH9V0jVpxo1gj/zsPJB3/oBNnFk4R+rq7B5PhWNlPb28b
-GWz5wYS3pz2unKS/ME823uUcyRKpRAoBBpScBwH1uB1tanBXQtJ6wgQE+H62Imnt
-3j6mZPT4P/leA07owzrgM7DaI4vxSDns0YmaqmFPgsOe9VMbshUrCAODPd3mIw28
-jhoRgj1RNt2q/+pMCBiJK05L60KXTU+IUYu0oAMiouAODUpIP/yYeapbL/K6TfIt
-7hkzYe18PqU/uP+zmmvSY7dToV65sAarIbQrcIUFBYLiTqRqmQ3Khfm/xXjOb8zp
-nlb12mcojwJuJpNuU7zmTe+3q2+8l1f5fhyfWtK3aYzu8ZFLHpy3Na1OEnjLf38j
-dCCikNs6DHafGy71b9MQFoYEoD7vIOaa2jXbdl9mm2yzp/DIQtVk5YYktHYak7uT
-ZAWyHe9DIyALdQ8MNWjIBQgPo7Q4wIrNJLqK41Nvrk3djN0KX8B+mFmkZlN6W2LO
-/ni595ELdiHsfUVNaNXiBIwz4EfwRn5rrqSDNXzR5ehzPsYCHcwXrv/7Xsd1bQ/y
-aO673b+nHWMnLysYVY2SdSjW1hjdzw6PWd/5ksIoQ0nUY3mULHUjiGS24+A4Tj93
-d+Bj1lEyR8vvUc7SNiYNVsQbAIc0EkPIp9Sl0zJrU7acotere8ANobMNre4totkn
-K6dNMyTbkhHK/jyKUTA2OIS6HtAwSG0KGR78cbOsBr+nuuEeS9t/cYxKQAvLVj4t
-ZoK/eL5cxDj8qXMGs6FiLIP4IDkmhssLeAE8gcsBSQWaFCNhoDUzA816VqJrcwsn
-TlWR69YQ6oRQdsygwmy1wRDIgmRlkDKwVPXXCikxAlBe0oVsRV0Yailu5Gp0bDo4
-iKsIn50n04o4/UVFfpY/xeG+U98rO5iZqWqJAjM32JWf0rWaTkVW2o7P44qil/G/
-fD49HL/j7BmY11O6xJ+Ok615semsHZw5P9I7IGHw8U7GJwsP/upm66RsM2tgOhXO
-FhP3bjiaKXRUfoecnMXLKeho7Hzwx8BklD2ztK860IXiW41tgUq8S3Om9/11Nyx+
-lk1CUivwxPlGhTJ77qGXXG+0HvCIHNA3CJQvkHGB58M1He2jzy7xNAdy1uYdeapG
-Jky6aZS3AVlc+jipd1vraa+z60t+cjZzfDk+S2L5Kv4eJXLAQRlwc+eDrCrjjAm9
-ebNDBEX3YD6y8KSRsmeAQ+CSJjdnP8YLeh5G0jcm7wle1nOmwlRVzXcPD/VNITvN
-lJuu84xmV9J1ISFpV/nCg4rkeWFm6IDcFrmWuw5CYX0hoCF2K+x2UUDqAUX2hBNo
-eA/ucQD7/3osCRAcm8ettPFwfoyam8v9GZ1WqsiEiYHH0ofv3mguG/ElLwl+fL9i
-IoBwTSoiZVxAOoDw8vCtuHVQcnGT7tM1ZbPCFJEGcX5xKPIYdIuqBsEdsvrKC33P
-zi1p95p2xkw0Drfjtwfy9MAhkeAyc5DHOjg6Lkie1ygaSiE9dZ+9wPBju8SiHjbH
-iJDphuoX3g2zTaH7+o2J4g5kjIOFtnm6NQUY0D7Ul3mcQMNp+MNEW2WkL9dbSGpi
-+ue0ud+Bg32HZA2+TDET4XFmJ7bE+uw6jT6p6UV48HCtC4iNo53Inw+MWHNl/fWm
-3uBVV9EQRw67hvSqr24jR9mlX/0HcT1M54AjT/iFHMa1kCqgsa+IJZ4UGUmk4kY7
-NPCjLvmEULC5mHP8+EYsOocisMHx+8JB/7C+HH0QnMLZNGRUe5Chd94FO2TCGPlx
-WkeSA8AfhZ9/aO1Ofm7rfb5rGVZxt5od6ueBgPoJ1ENClOH7ff++ACGmWhW09Fdr
-qJ7X7QtjOfePjwbOrJ/ezIDP2DKHJQst46AIzQky46/pcpDncz9Jgtd1dmmcEtoU
-xDApPPPuamqjVAyfVSHdo+OKOXDj5gpyWcP1trw2shmqz4KH7kkAPytB6QBM7hQf
-Kz3s27ROmINd2KdWVyky+vrb08wcaggMKh0wzzrLEDAvH/xEuXqk08OLf9xfHpbB
-oD1/zdnQ0l//BS2Vt2QsdDIX3abtSP2hcCECbcmJs2KbYT83NwUazX/JVmB6jWnM
-Ewxjke8tGV8MaTCYGVsCuy2EbJ5ooCv6Iht/6GjLojoUsVwIQ/+WxnwR2Fe3OCf3
-euD1pfoGtUu7jDGFRGvPcead92psX5E6Zs0wwlrLm5BJczVEXrm+Jc/ZcsIWBAeU
-NUhcxjj6xki8DZJp2wwvsnFndQ+Fr0XUZJOc/TreJAvEzXkKThjaavLCq8VIuT2G
-ldRA24M5Ni/RiKTyRViEmzgNk1zXPyuhLdpKZ72waeOt5cByMXOlmj9zWkcDJ2d+
-e5Su35HIJ00MeFB46wvwsPkHWVn6OjPiIlT/0+hrnDsUf5mpVubX61OXldiNB8H0
-ojJuoHhOq2DuBvsnDNGGm0Fnx5nnBPnPXtk+H9PwcgkcvbS11BehRyyj+It/PnLI
-VWnAejxxjjuUWbyKlWlShN6kU2SLSI75qG7z/KbEvuGVYYGa93FmCUDtwqQ13CCG
-AWJD6GjhMg8LVmCiaBhJUNH9AA2tHzL+8aF8S2j3ggyI7nZmM4s6MPGgVJp8bMQM
-40BrT8GxgMFVOPjwYZLnTrEZSqTgl/Y5853tYuqBwmbQxXjfeRh4nNKLrVUdTCKN
-LlXst4RDFPWfxyDq/5c3BeAwpGRey1mEG6Q8onTxP5wbexobejHiY7NWfrfEu5AT
-vEf3z6WVRvR51Hte9vx/PumEPlU9T9oC6p9k+hS/GyMJFpwu4XRI1wqZq9HMH+Na
-coEgcOjjmSw534+g1J6+m6Xew9zyQBbuFPPkO4iaD9pEgDf2VHgwZw6uENXzcraQ
-z6XIv3uv0NkSRbkKIsDjVlQNxZI8NPz2fKAiIlhBCz7CZShhpRX0dNLRDCLEZput
-nGnJyNt+YqSAarI91TdBCD0jn2zHvrMeOz/B8We+IE0MZEvsCsqUnPrfB/JgYZYE
-cIRPJ0GTAP3ahsjCUb7gkF3qi7/1blr9b6z/DucSCZvZUoKA0C4zS2G/rv2dQoHR
-rl5fD7YoiVaqEOC3ay0zNk9yM2vFfcA5nh2BHwWblUuK+p/4kJzz5l5uvlQT1I4a
-Hl94wjChJdg/1p+uWO2SRV9jL4DOquwQEtt3uIlyqwvxk9GWDtFQkL6XlgO3ROR7
-4KmkTCu0Rj07OJOw+UPUEkt+0wiVqnKR3QCY0rnIVMiYVrUZGCbfLpyZ1A8Jn4I4
-AVnf3W3Uy+tj56pu2RGaSzIgeywYrYVS6ctCyNScb6JpwhWqDnbr8JzqLYXH9r0v
-cSSfPD18IrHk5s0iomjpJc2Z53xgLuVYzpapvqx+ubhkTzzVxrOmOZWbXWD/WVy+
-22XKmwwLZCHUzQBrxgBEtAUUY0P6FT1c9DBY2cUp2PpgjcEEQlDUC4rYhg2M5ShT
-KDTm2gp3cYNSpdcNQg3zSE8I5NoUl0Hn540aw2aqpacs04R+u0Wwc93KrZvY7KiY
-yMxKnp+r3pYeyK9jkIGUcBhjWkjNcq6f93h4dA5uAw7s0iFbiQCsi85TYsHMFU6u
-+Pj0DYhyTNqfpjfxVO8bDKu/DQiKY6KLBLY+Uryh14E4S+gv6rFoICHzHzKaPQ0K
-lrFv8N5RPhANhELsXU/CDBwYQR5lcvnGYa5/FuPp+0fCF63XsU7sFngCZPtcKlyH
-0p/cG12M3svAs7ZG80fvyOF1AZWo9frd7XGjKMFeXIttZO5e2NEtekv9wJulkHq0
-Cs4VMOPobgCPjJlDK0Z72yFOl1bWKaPGgIHNsAn8F07ch5Uz0xeJFUVTFjOIHfle
-UCAYg3eooCTFBgn9lLaX/IsRGQgATrIIC0YGddSDg3RTEN4iAI2xzGWWTKuqQuEo
-gvLXhweuYShJm+VvNUK1/bbIL6i3imZTVljxepOe2/H41OM5wujdaEjj77Yhqu/3
-Wunv0lTINoohb04td0JCc/gA15rGs/byGY4v8cMBIcB4HEYyRK4JraNYi2y6lvhh
-m92JfAnLry0XjFIA46YXhrCFQN+M0O5H8nY1X8Fc2o/T6Sajvz13Ro1XO+8H0qiD
-Z6QjKRBysQ3xZrJn7bv91UBDuowPf9XyJcEZE6oVCJF40A8uAqKW4JHCayU3aP5D
-wrZBfqh42aeCcv8PPvd0kXM/edHIC+T592fvwDiIw3RWeCp8RAGh6JKPSFsGirc+
-FBdpSsrQJ2Z7+6H2muLBDNmqpsH5ic6Cv6FXkUa2MP0sHy0dpLB6PDha1ZOOLK7W
-4aGnbb5uKLb8Jce5VEycQj6Cw623vkCCDHJviGpzkrSWexwCJBjlfCl1SdCv64xb
-7SUxxkSlofY/BwkWYQ2Gs+5H3AURCApzJ/6HP2jlLKuYWke2/HiB8sEWLEp+K794
-oAbYOK8KT+7rK9RLlI9h9+HscEI1D0BeLV5Uk2sIGMb+ASdHLrYVx4WkRpu4une4
-pecrdYgpaY7ugwfUCAlIB4eKbnhewITWa8b9ZZjRuXiv+fLXnNVhLd5MadIxwL6c
-Jgc5n8YQGpS8r861PJgyiXjKCz9PWBPgPl0izAb6Mffm7UxzbzAtM6LJJ7VVT8fY
-pXubqOqg3N0OH/Iow/QYhXV8LTq35eqxfZ4Ck6IhuuBXPBr0R9pcxwwRJSVlfgYz
-NpsiZ0OVFTlp/3cFbmRvEuDSMpew/LHBCWcTA0X8svs/WcGOzES+fGoVpug09Qj9
-Dym/fLRoy2eye7QEPBN+KQZs84bYhBftBNNKUNI1WKLbOmzOM4tO/qeK1U2cXPd/
-V0OVmCXLyoSul0buK38iqa9r0xSxdJGFehz8OfYgPMO+fRgEZk7fIllEtAsMR7+Z
-wybEng2LafsQMnkrwVJrReJZ1lm9rpYhRJV28DAbPNrYag11XoSRy4ZrmXAg79ln
-RdSDASAQqQYeGVa7Gjm11ZIGpE4Y2WDt3j4KQqtE6KPlLfY4aM0otA9RMXBI6sXS
-yC3SBCApoVuNjC/43VRgz6nRmzMyAG4iSuVIuK0+IXO4vb+8KTWTAv64Z9npUy33
-btWMqHUTX26XYXBZZw6mfiS3VCLwXCOVjXHpKMY2pp/Ckf9dcO2kCvAEpMZvcE/l
-l72LU/x1M30Mtjad7p9aNOYYMDxqNX0S9KwdFreU5d0ocH39+SfOz9ID2hj6/Whw
-diYJvZTFCbfD2awGv9xM3/+xi117Dhi26lTlBfM8YATOUWhmF0VsAyGJc6+GW9h9
-ugJoN/wHOjMOSsB0rQEbrI48yHWKLFgoXjsXk99qx5wXTcg/Q6N87WkLBuBKciMb
-YjkNtU/jUKZgj69Cnag2m+Zl0pykrgo159S+EIpteHloKQbM4+zMuNz/zQWe7bGY
-jiik/LrWNpfJ49K9VH4RU3ZnqZCAfkBzs9LZlQtJCjIa9tGzWKtpwrO94d0JdiBZ
-nSiGyLYgA1HtQTSUiWPcCPmEjPTh80OIgAsidCZl/o20IvFg3XujEQcxI6SMl+9G
-w0d5XQkSgd2jMtW08+pPbalbALsSffuL2A+yEmwsNi8hM6KCsbNs/2VlSaYLy7gM
-DRO9DbSd928c5tEo/SQc9qfvA6qtr5I5em2P1GZ7mSZdUJueXNluyF8SWKy3AJTm
-QQ69AKODCcE8GqIwfTqCOq+5RoRxVZ9h0hLN9MVmfiNQMjyCdpuZIc05ieTvLFO6
-ZDixQ5zu7tT2UO7aZwqPaCN0aqCqHpQ3J8dfS4jA9QJTqqYVPwBuT6cTph+ETmrm
-AZAWIUuAn/C/+PgkSDS8Y2LdgAWws7H9CzBVgaKYQa623BljkETnMYSw8yYbhzOp
-MS6A7Q5tnBMZ/e/WSQJBw4KHT7IdcpC5GmCEKeWqvNVFLUFHfFDY73fh9LHmCaKh
-H02q95g1aZ7afHzMz3fg8/E41FeLa7BCVu9BiDIchszEGIKDpMTgJ4ZTpzauRw6d
-PjqS/EMJkwn1ADBbGOt+cezuiOvicMIRH3MD+ALee9a3QQvJyj/dn6nWqRtx/5Xf
-Ip16Ro/zjDpRi4/DV/RGvL188hNPYpgL2Wpl64PYTeiyDfSS044uaarxOGR6IJC4
-+P63137ZY/pR1X6eSGkKuPxXRt140yX6belZBWCZf8UbprJzwX0MyMEIlmbB0smo
-x72g0d1tIWpYmnO+n+PrgDFSP17NoqhWASxdhJhes+jF+BcvCI6FqwiLlFojii3u
-+GwhEmYwKfDGxS1AqyK8CQ8CGsR4PYN53Cc84c9J9ICdojUXhJmtVpRve11Cxfbx
-h1U2GkgGNwa5RlxXYJ0iIsbiYGIIyOl/DDWJ/1ejoHc7YCGzp2QrGe5Fzvhz5zNc
-h+XLT+0ZZbjDuYxO8yQxh8YvUYG0I/sU1Z9zaWGlkwKI36Y27VjPb3d4maxTxT8i
-zMjbUgqGyMWqMvX9k97AtP9yxyqJZpp+HpoWav8PlNXR8P8Ku4FPU7glA/PfQcgZ
-zRLyMz4YUuXOCogH+PIe77elm+pE6TVATMQ5Xjfs+G4uTuvTuOLd2px4yAXV9USo
-tsfftiqsmUbJtzaDmGpxxLFXpve3SxFvMVfO7tLSCTjND53qCTRVoldw0AHKoL1a
-51pw3W5zqz7bgMR5UGGsgWLhcq1SMFEB6egNjGoG++HFxBppfS8FYzWU8cVvlHMj
-Xut5u57vEC9wPuyESvRxMH3L+l7PuURyuXcaVxBBv5OosKNtB+Bo/6Yl96+i+RDy
-2zDGtPOp7uWC5KiTwS5MnyDoaTkyMCcEafOzczdGoBwYQ/jH7wubaYZgTaL6Zp+y
-fNlDaPyRwhrNtcpMyWtShCwBh8TJIU+TUPQHZCHIQrMNrMFzqZqzd8IRkvOikjOt
-MLvnYfLEfa10tXSsJk9Xy5QVa7YyOlyvvdFQXU3L0E2CxW1s6qC58mJlRUdq8F2b
-8kf067KpWrTC7fIIri8YKcGwu+OtTbe9iBpeiphjlom+RFqvN+AY0LUtfPobNzXq
-KLeYR+XcvaFKI3kaGXbNB6uu4spuV9x1IQ5/zFEZwR+eRHSQ2n0Oawi9SFXaw4ce
-+yJ8ZDuhiDrdsXYhEpnPyQCa6NJ8OIOsQZvGhfnNYFJPrp6k2Z2+JGJ3KA7XiQZE
-p6Uo7mdzVHsGibZpixlUe/g0G8/S5Ve3mrk68euIdR8S45dpFCiIWFHBeP62dkO4
-8i/7wibT3HKAs/BQtlBph7yVCIAy281qMeL10dk+9zoptMD2jO2JMLkF4Cg+QMHR
-dVR8G91W4k1UdlJQwqAtoq6FGNK4wk0p09SPEkBfuyqdME9C7YU1Z+wHwf32b0IU
-YUvUdLmxaZjMHrRjugW+4+6DyVBuCoVYQNdbrb5Yq13RnBasBjkWUvfoQY4r2/4Z
-YBaxycPdHP3oIa9GGpx6Aa5qQG5jcv72G+UPaIml+bES0n+dPT9FNaTqQyy6OmA1
-H4S3HiQvXInPv65BOFcTJvmzO6UMNYrm1/N6v/uUyvOxBjHXGxdLWNI76IQLSku5
-CdPlV+faVd0Qucl3g8a2JuciSd8XjbP7py0ml0t3MtmoRxLuTnKxyIFRQT6HtV/y
-TYEzPmCi7CAh/4LNvtD52L15tWHDy40AyvPPZvrV8jDY/I4Lmfc+y0qMq7sDjBQL
-2NATBXl0SqFV94I/VnyjC56WOvarv6OymMuyRmD2784E0uDwgbhN/X9kdm2gkSV6
-fyL+Uh0boJWkEPoudA16jeQ6uab/Gt9FIQkjwz+ZHK/kJMRRh0GYMhkfUwWVU0fk
-5NSMzdQFhWu/z61WLHSLMNdd8yMHopdGifcMrwmfpjPCC9loG6ns5eb5LDp6xz2u
-KpLAOF26H0fN1ayLuJb3Scz6ZpBeRKhp+6NyWmrWSrLDsrrfviTU0tlOT68A/wLx
-bCEw0DoRyS1k78pxfhvRTvJNDeS/NwEzn/7q+5pmm1PiozSNjs3gIccc3AUnDpGK
-8myp6dYBNfb2kbiu2rzm6DvKIi8j2XgLDO/tdIKfTbbvnHNQa/5BxynCTq0vubw0
-pFYnhN9o9zioegXXDm2fRZP4axvDQ7uxvD8n7nglF9WfHCGjPCsAcfpfi50Xc01c
-3VMwrs4BJHzs8oLhq/N2Rgy6xtZiolV4dMjGR8rMLyu8lDkeEL2tonlQXgzj9sA6
-8Oj0MoXYoDJiDOH87du9A/55S3S5uoGP9+pwyyRj7cg+T4VRm58dQ4Q4qv36+vf/
-IphvjnrdlqbWCF7PFzpMVOYebB9zyqcB4DO1HEfjqyXYlTL3h0hr0Jk5YphkfNLO
-OE89uX/G6cqigd9wbh2+kg65/eH2xr+WiGYsVXKrYMHtlzaxnJ/xlbIcGOsy1zeM
-5hq2jj+u35YIC14X4TltccmPLpsRv3Fa5+aK5ZO7IkZTJxYBxPEYLZWGVb/6Vx16
-bcRh4e0SSNAdfH0ADGD0NPe6vzKjEoVi9TOT4ivZ7uvtK/+dYBAFgcJuVza0RhvD
-u5XFyWV5PwLoIdT+UmTgeE1LZGFuHaFt6xqn4troR5SavRKaY69GGapkIMQPoAh2
-NcP2Ed6GpK/6mJpMiSLmqZY3WrNOmEgN+LasPyl+/Rc4uh3w8g+x578AzQmSUZY2
-te6fdZl/4UePAtIygRWd8zoYtFmwTqrX8QBWq23Pgw48S+2QZ8I+hcPlfUrDT3U4
-GJYrveSnN7zI40h+EaWsoaV2imQHcFoKt86TBTB1PhGflZ8rz11mo6RH5Twm+8bj
-vK7P+1hOuMNhmY96jWG3VeOSf8qgC4G77kIEq2lFthNQyG6IJ0wf9m1QFgdw3ZxH
-D9dVj8fv8TheYB5TW5Ugg1zbD4OOSMW7SGn/V38wiPqOJPwPUNuhvdZXdl1vHfcQ
-AGfF/wupJXdb0ji3SI/Yvjg8F/XwtQiGQ6H9bDHBNGRapfdU5Hw3CAi4ujYoqV1K
-mgIeIEIijM4LANsMNtDz0TR28ki8+ucQ2jD9Hxcb9DbVYmRedKFFSzckSAhVw5WY
-TQHw7g4l2fWwEpu3wF/ssB7Kp2yowMWVAzjt0S1zo13lEHDDX5ADzHrIgDc2s4m4
-un5EGWgF9d40ohw/QrmupARrZSmOU7QTY9BX7nS+9NgdgvqUEluz2fyTiNVBDpSv
-9bvFRgFBIWdqIc65Xw0uF7aeoapc9Ws+9N7BxF8+fn74tkfFWsyFBgj5LoyAirz5
-1QuXif4Jl/xUSMuat+pYHHyO4PaNI9Ytq4VvOu/mTsCpwSimOoMKOR+YlVT1MHMD
-vzRF7xLc5POx3mQmlRgFAmlKfXYQgC/5sxZ7btVjKGegaWSjXH9y0Q8cVmHzgKn5
-vTkr8f+L00mKQYoWxHPGgTNa+yt7TSMTPx+7v+OgOOODdxANHXK8CA+zfEtRE9+z
-m7CGzrdllYPmnRLwi95MZNEX01EG6jP90NsR8V+mqTYbVt+uNDJVR8lc+T7msCzt
-F1A1059yZJxmgLE9ekZ/Tyfw3nBC5Mfg7BSKuKQcDzNJYrAKRZ3InXJcwYqDpI1K
-zoie0r6F7B6MQumMj7cvkHM+62AekmhA4aQ2ICw4slsti/YVscS6JJCmMI5m0d1H
-p9DsfAUXgUryf9rr7g9xsUKh7DR/Q6yWhsxiNOo2RLacV2KfVpN/5FjBxPLWGZhI
-DHBHhbC5EqrYMAks20N25zv9oXo5PZ+be3XRqP3PJuu3Ta6s6miP9roXPh82q4J5
-LJu83kK45d0Ez8dLcdiMIDW67O3k/KRgbeWSwomoUn5nAG3j6QL17SuGefwz8YOS
-yuUgGgzlRAuY6ASxx88rzvGViW9nBYxDIRwoEk0TwjX++dRbQFA3WEncppyPDvX/
-EBc5QtIdluCK8qgNzFp+A2ptkBolrh0nnk14+0eTmTb9mvl3hAocv5r+EFPwZ7RW
-vjRRpGJpggy1UCMyV1dna+1H9FRLevyEeoS7+GBrb/WESrDTgnQfA2I06yX9eiKT
-4p8FwWMC42+VS3oIHADzJ19LuF4tO0WiHUuCVk5aR1EQ6exKMSUm+aL40S9YzD9f
-pC+12W4vSwpt1ebgGTE5RPQbZECIE4dXQOIhMZR3Gf602u5Vdln6iNYmua2RbKHA
-OxOreyOmZbZxcIUHVASE9eoOKjQt7aKtmdPHcjQFi8j33uFMKxADkvhsSnsO039l
-r1sdagTJArM+eYBuYgk5RlWxrDCq3EvNfRxE9wm/4bkfom3x35ouTzu+9zwk4u2H
-7hFbOhEsNJCu+Bs0+QFtRURyvKTEszNqiIdTPt/fvJxGaa0/kRtxVJVzzmx1DX+b
-uIwP9ivxSlQAdoc36Ipj6/3UeymrbCNkSoi3UfKejp/OmoWVTaES0QTXFoDVrtPC
-7HsFj2DYdShFieAnQGeByJjZubwVKcN5fsqeMTZDn5/PlxybpBuhy3XsbjMwgOYs
-P1aKGAchk183f6EIWYrN+YNaQ8eCeouqgNc3ffKsP9ZzGCBcbf03s3N1w6030qDX
-lxyEKImJnArwOJPuJ/8SntEnHU858N/cIER6DEbf/J6FSeeUtmmedUrZ1yMhaQzW
-lkkAz5AN3RaYxJw9mikWTwMMHIOQQwzYxPxeSgJWw5rUisK48L3R2VyBqlcXkWeW
-2FuU+0pEXMNO55exffwV8PUjo7KPan9SFi3HFm3tLIohbSkz28q8wynNoOTWqv4f
-pm3RBtAj3ilM0Hd2qBEqDRjEbiip7STW9W/2JOATns7X0+rOol2XRwEUiSvbAUVy
-c6AHB9GzYNITmB68W1XQE9Gzd6qWGXSKH9v5QRpgWllZxslI9P/rPyP2VY+cXhG+
-dgUoqHB06+O8/iPR/r8NTFh7GmEikAWOEZVcD3QYROjivVQUGffwbWl10iWqjnfq
-PHLji9dWlzTYy4qXjMOchGTyMYqlGwWqEHHoDUX5u+3cS6fGUCbJoXow9ef4r3Vi
-13rxGW87HS5W+ffrlYPO7qwEcPzRdsctPILZv4fWbM86e8tBu5r+9LTxYAN1GzEl
-gZglXprFJCbAVCeIm7T+SYZdCsuFokXIz+jhrivhmun6Sfka2b4L4UIpVRW7j4T8
-aPfGesERdlYhqCLf6a/fkiFw9mqr7P8lbSzY3Xnmexq2+A3xjEs6uXNRwIpGtejt
-Mjodeg2m7qcNA5P5fKnNx7NHC+qgYL9fPZLZs3w8ubrlWTLK9O36QU2Fp9cFR6Pk
-GlhIR3WeADhTZThhBRboe+bAmyV+m3R/dTkhYM9+R7LdVXeloZl3Zxr7dP1yX6UA
-MlLRS3rzdmnYOHwRH1PWKEUgtXUlo4Ddcrk1rtziCd7Dtl7mKK4UHsCZ8868hmvB
-G7uJkNc3OWzce7Wd1IijtewdC7PqX7Ipnbq9Xo2xnPPdbuc80uU2q7BuntV5YpBU
-XNpDEayjcHzxON8i3BCAonLxxYllSfRspujEXXdXw268ya3pjhA5H+i+lc/qVmGQ
-2TCcHYPZBSHTYx+mTMMyweAndkHYW2+jsDGzWmtA2g5qrd6r3IPKCBpFDGjOeV3S
-7skFCrXzYKW3ZlCWWu9OyibC1KP396O+veQj0t39yQjw2FFyNIVLbgp8zv5cldFJ
-txXXSP1IOB9n6Ba5QNxlySnPOTmhAnqFminKI/ohUEtE0UCr00/vzOgr3lEz9+VQ
-smMxijRnU0YGbDrprrvHKInt1AYdGInJ1NP1Jxj86GyReb1aqW0mBU+kEuo/QyZx
-dMfcOTRO05Dnc+xH3XR68Oj2ynjf49PZUtdoTx/XaaWjEOwYn6ju/lzrSZS9RYDm
-TcHy00vOltiDOCOMGss8nsMP0wIno/aKhjIA1o3RHGqYrJILYBhcDyVVGmWdGiRV
-OF+wPAQ1ASaEP5fFOgiMnRdGKhy7jyVOEzSc7BYGhft8mDB0xA/MiBGbznJGtLha
-umw3AtapYmFI+U/CAnGIngaGvx/Bf163bt7adJFXQ4awJO5HOxySomLiD2SeXMwt
-xtRoJ4F7Fwyb4tkiBmPwa3fFZkSfLCT/QlBWvH6O8vvJsySYDaaJ14b0TyK1Wj6X
-hoAD1A9gT5E72cKAUQK/SQYQN6GioGm1EornxpzQbKjzQuw1oCc4wtKUqGnPumMy
-JqH36i+Jn8ZlkNS6QmyinmVHU4L1gLCT9c2zQQJGU+pOptNViCL2f3yqLT/GuPki
-Ik9V6pQHphun5c2bzFTWtXFtKRg7OncVqbCNa97gMCz9mAAqbrPvyTsQPhqaNXdy
-XRkx0+tE2D76NK5gLhhaf8kx43dHZ/D8iNcy0+MaHvdszhHl9gs4vs0GCGQCwkmn
-fkDT34q9OsvA0lDb0+TU/9+0nod9LgVAdzC7/nsxMdm5XF1XHzC1Alfl5Zrhhf9A
-foXQQr+/1K6qCstiZZLfvJFhG4trYVI+nKTQij77lMuu9iywDXtaPbE5tX93Pwx+
-rvw9ZV9B3gn0tMh4fZ1T3oSiFj/SRRpAQFvwZhR/KSZ9bISDhmAQIrao/3h0WnUS
-0xeMtG79ts2WQvZxvGQGVP50lL4r3dEietTC3Lm3nOtG+Xe8VjYSsGRBMDjTuj9n
-L0Io9s7VkNK86zBl/EZCOUZRghDvLdJs+StwQEizGaq+i6xdAxmGRuREeSj0jWIj
-rlPgTGq6OUZQaA1QpoHnEWCuZgmS46npBrIgGxaOtQMBk22mIg6oDDKyrOtHhWP3
-Vo/JBmbbxTXA4wVEh4qIzr+KKHQc2n+zZFJEC5o0hYBGB7U0geFVVFY4qzOeJkmS
-e8yGSuwLGRDZL5dT0fYiSrM9J/HFtI9T6DrdujoIZ/0=
-
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch.sv
deleted file mode 100644
index ea0f1611ae6f9629b6dad8f42a0130b45fdfecf9..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch.sv
+++ /dev/null
@@ -1,81 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-
-`pragma protect begin_protected
-`pragma protect version=1
-`pragma protect encrypt_agent="VCS"
-`pragma protect encrypt_agent_info="J-2014.12-SP1 Build Date Feb 26 2015 20:50:25"
-`pragma protect author="IP Provider"
-`pragma protect key_keyowner="Synopsys"
-`pragma protect key_keyname="SNPS-VCS-RSA-1"
-`pragma protect key_method="rsa"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 128 )
-`pragma protect key_block
-VKqND1sTGonjnmWrfwhEPhC9HEe+QcqrecbxuDaU2cxEZKDXQSzeJgABOV+zawOW
-SUS0g/pGA4O5GrGFk02iKH4wYQaAT25zD2Q+ekPDDuJUhzlJtyB7zjb3CDVROv6N
-lELCe1lhYvCIbDIln084B51162w5MZimlppzQ0uZfxU=
-
-`pragma protect data_method="aes128-cbc"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 1792 )
-`pragma protect data_block
-2jwmNBqVrBnovMC6xMJX8Kkv+QYYnnGSVLLCvi3UTk1zEGTjbiqBKfpN9ujYn2Dn
-+r1TQnnAjqo+iIw8QcX9lSq300MJdSAYFOm/yC/8CPP9PqAUMdF8FvFG8THGCX36
-xP95bvs4zPPeVG88wgJtVpgUMb09IyHqaAfmvfB9gaHAqZFXr8iyPTiDF+Yy+0EP
-IRNv9Gft6prIbKm5e8B1hK3rNQ9uGso6mpZWH/wdCkRj59kFcRO8xdep4HYUQWXX
-pu3PEoyZDQmLARedL5gxK8ZqiF4s2VC5KAclEp3cf5ixu66QNmQQQ/UDhhQiemlM
-i1tRJGVTBVVFvrPSSw/K/2ooC0DOeCVXNHfWh4LBBDTzhVOlEK7RaicqsUhQmLYb
-FMY1RrfnDLUm8EAXfrNnRkyl7V/sYEQi7JkvqMRljXkUaXGBALTr2Dmxrer13qFV
-itCPflZX2Zz9V7FNuVwkaO3HcE28aUL1qdwrDY1+2llnpVOexNlKkAze+ZYh5gp3
-jHNNyEh2OVmLRt9YVs6A2WOh1nR9iN4raDWSEAj/R0LENb+20XvgCWwyIMyDQ3ab
-QwpFuyn08TBQ8mUvK+NLUhI+zBVzEFfF+qxbhJSZTgpVsR8Smw+OIkPwglTzYwzV
-wgq3NZuQ2z0W5AUax6ZiA6g0+PytVan3e1OGTra7+yrIqeTEf83RuHJxTuep3F85
-tMp7JdT4t3gEe8L1ugs1PLavpN02IiAzar8QdHd857I8yNdT8by9R71ZVyLyzdLA
-rKhiLCH0RMXyo7wJ/vguSBmmMgDOn4fj1ForHvDkQ9zXKN8sIILN+5O9JX45CfN3
-1qi/HAuEYlvzB3WcUGlXS32hMmHc8yzdaoJB7j6Msj6VOGLWGHvmrKtTfFN39rxe
-wiNTjFFE7V6cpEWo8rFI0WtQK4pJcVTvG+LcFk+AKtP4uhhAq0vNb4Nzxhk0nIOh
-6zKRjibnn1ZMm8BcGnSuAcUlW9Fce0DoMlGRc1zh+l3cXl/LH4GBLtaQaOv+68f5
-CUlIAHw4zcuIWEGmMGV+FGXzqGcg8WumIbl9C2ZiVhlc3gmKIma0rWU2fd1vgeSw
-uc4O1eiUQ1mDfxURiKenOGwSE+ntQTWvQPlJkhxET/SwcN+U+0MbaQ05/t789AYl
-kTdof2sgOedtP5p7jXAmovY0xOwBBjtaGUxDbdBDe1ly1mk2wsnD0hVVu2i/AL+a
-cRhfe/aWAL5UXDOgA9FH0jo+hd0JjJqNKOIlL7tzn+0o4urToL2Df6TGvChmSflZ
-lKsyO1ryjaV5EPD3ZLUysCaOKLBRnqDFW/M+MvBwxoiFVYHlMoSpkIdx8Fb4S29H
-9kQlVWCe+Hc1o+Igl86go0nXTUWlzAVt35jzEGn/fl05r3OQKjkMY+SARSCDoeWi
-kR3yM3hSq51nyFwFVDbX/9Yv6UmTiR3MGqaEt4POCOW/VdDo/ZFD2aOKcqCyjkNV
-SCdSgQA8e51yAdm+6teao1/xeFj+2J/mOEmPGR7pgbBlwjdTiD6pYwDmhCOXttBt
-/hi7p/SxGbes/cDO8BPtD9VVo1urxhf+VzX5sYXGtPJHDYzUA4u9Y6huL1B3EwUh
-QhMBsHnJwoFSnWPetUies1lHXS6Je2gitBK8i6j9YgioadB/6r7phZPWTfv+H2sK
-IlowH+pMEbiqKkBnBl6tG5j1CfE27+yYsq1htnxXBrwFUbaPyScmokHpmSu+BtRN
-kzjvtPBN5Ld3KIfHYVBDVPa+EnfGJ8txA2rw9v4e6yDDZAAQeywkSrQHGA8CQ8s7
-OSSSyYNDEi+CPoBl6pN51KsijWPNbzLvmvuIQM8h4s/2X0KFvVPD+V8uBiKkYq3V
-PVPLU0V/sW13QimMYtINZXc51poKET1gTrmP0oGS4P10XHYVIGClmhjsNaS96JLW
-wG7nkUaxhJuro2vdlvUyrRotT+i3ox01BuRKAxYXtOX/eLhkTE+vF9EZBSc+QJtk
-sMmb2XnIJkU58skP3ggIgKdMKzAR1lpMaC1PHJB/u5Az41e1IQZ7Of1mfD61hIgX
-vFTPW87JOu5/XYY+KoLT7DbDal/15MB7z9efSO3KJQ1d0FIBD7cdBLwICXufvRha
-Dc+5SAUiAlyucKheGpLm8/AkqSpMIcUjCWk1EEYn3ZwdzQPPySKqXvHNJT1ZNdk7
-cCBoqFjMaWKgfFoYIQj9dg66dRASdXSDRvX3vhJb0pQoWRMO/ZHLEcHy5us9Ccw2
-qVbcURXY4LDGd2w/Whu7v1PC/JIEBBOpzADEgZyLBUDdrL3CLxUyXnG6UnTo/kLJ
-S/5QErCBOQMmGMf28sSm3dmcBSybwYI7PZqSB+PGQboOTjHWS5CCGmqinmtVHuBH
-oHCEovQgqA6rMkQL4WGLuw==
-
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch_main.sv
deleted file mode 100644
index 35708c2d26ab2074647d2b0e61ca0685b95b87e9..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch_main.sv
+++ /dev/null
@@ -1,150 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-
-`pragma protect begin_protected
-`pragma protect version=1
-`pragma protect encrypt_agent="VCS"
-`pragma protect encrypt_agent_info="J-2014.12-SP1 Build Date Feb 26 2015 20:50:25"
-`pragma protect author="IP Provider"
-`pragma protect key_keyowner="Synopsys"
-`pragma protect key_keyname="SNPS-VCS-RSA-1"
-`pragma protect key_method="rsa"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 128 )
-`pragma protect key_block
-Kn1qd6w6LEJ7YJaM+sYC3IO4+PJO+fJ+DedB+/Hgw6YUedYW0tVHjGjMygKxDiAB
-GnKqa+bJLGBokoGZOd4yX86Rc0La7zm7VfJye/KU7agIsB3oiXf9lzc9U63uCUXi
-kdIKXOkDLszF8NXk8AKi8gMO9SnfeAex4QJpS9VI3fk=
-
-`pragma protect data_method="aes128-cbc"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 5136 )
-`pragma protect data_block
-BruObIZi5majgmnYAXDmFUrHhx39VH9SXIhwQAnjxDxj+0ieiv1xKjj5MykF4QPv
-kAW9t+1rF6OYdtcD2pVrQi298dyDZ+pAbM7jdSL8qD+XjJR5lIc24QP7XdR4XL5q
-aQrytNu/YaE087N9OlHpF7kYmSL+Trk5WePJT37q/3Dn7mWhY6Ng9zEDs2nk/U4K
-ubdNhC58AxD7MlNRSHFfp3zKsdDJuWErXuMNBLzAKYt4noswKlRDK6YPoIH5oEfr
-zPIltrDGk5h9IOgDR6kYNbLkhrwdInc0OQLCOjFNjpbOVML6WZgBhBDWCdJ9qcGW
-jUispD5+HooP9yrGsvi+sveNNwl55Sch6r9lBNPq+uSqDTSZg2HYCmkrPGswmSd1
-gr/i8YfEC5xVqPwn/fSePjCZa56pU4ofDhVI+oJuf38awzKN2in+rH7psIO934Mn
-uIubctsx0Li1IQGc5PTgS6ipJA8uqVvu1qPXC2+MmS761kNjmtBH9wnWHXZT70AT
-hn20bW5+nLMd3blMoDzFdS8RsAddXPVh4Eh9gMi+LGfT3x5hN92Fkp3Uv8ChPOQR
-rSD5/5hqIDi2S8Mm8lcxbgMtNfwhLya6CTpX6uZvhoQDDLriOJQcQsaNEklFYo6x
-F9hgj5r4405rsSY3DuGHtm2FVYAUfph/EDxlYe0rYl3mfw4zkATjk+rLNFOFmzFs
-MfTFjmXri7JCfN81uMr1k5Quu7YifnJ4l4UyZuhTQ708zxwA8Bw+6iXFrgb9svJL
-lDDZAUMaVm4Uc4PnpdegeE4BZv0LDPXio3qVtGShe2tIMZv65sKP77bVZxCSmfB7
-sC6qM2fY2ko3RFarcMfGTcyDWKHteuZrDTpRLXQfXE2xACbH54BJdiaMpzQeg8g4
-18/uvcl8MwHuMknA3NJXydiPzgKiEhvh9HNLue64FSUkCDLTIwVITh7P0nGLO5/5
-eEz762jdmhsmzuIHi5EfnO0Fp6lyJNRXP2gXx4eaMOgezE90Qn85NvNGf2ue5L7M
-WFKn7YKvuupdt2bnAh9scZE5tQBFldXfIUdeF1JGNEcd8Ks+NlAttb+Sku/gKNoz
-sybSzN5efYZiTLO2Qt8oVQGTtZrLgWiyUYseEwwQaTyW2pJQVT45s6t/KZLPqKgE
-xULL8bZPw/nyhGQU9xI7yxX3X8IRQNpseB302ikQi+VuB4Y4bG7+n+TU0JuNbq3X
-fQIpo9h4+itTxOcbAYQSG0koRHatyRr2qo6cltSnAVlFe+hXFbCz+g6hWEMBURo4
-C2BJP428mgqpAC6pl6IIx1jYPd5WpXlq9SJqSHS0AYTQCrS5KiUQ1QnSztoma1Ko
-ywaATuqz3XciZO1EF/kB616k6rOGsewAWQeUdteAwisSEMpFXSarA5oVGKiHOqA/
-HPyVvvl1uHr2hSUrcdt+/n1R7BaW4h1aNerfhCrGjlUEJkSfhXkFxPio73sakJvj
-Cb9irLKfEBc35EIZjSVfOy897EXkVDh4yiWs6UGvbJONJzZ3c+ZoC00uj55yS7zY
-CYiv+Rh2q85cSnD22A+uKcsU/xqFN3icjiykAnz8TlrxEy7AM46Fe9Ey4LzLJ05E
-zBQHS+ULZz+VRNXbANpCLDHW1onlDuoCGH7aWpauCYVJUy9ly6+YyQVNs98CT25j
-SjDiog/5T1Hd9b34lbJ5idpuBrQyFiyzHW9ahfPyMI4ElY/t18XDk3XxqbIBGigy
-EVJedMurMSiiJ08ImgbcymCgMGqVSIT+fVugBpEq1z44Tyq3vSDJ9a+hPWYUrR1e
-y1Yq/Hp3a17SEY66PuL+pAMyb2IjZSi703I+bxuluy7bKN5kms7vi6L4QFS9m5V6
-LZ5cf72vzZdp54DreKqV2D1V4KP8ZM04hYDc5QGh1sO1UQodkoD5uBin7YK/EBKi
-SUqGwoD+T3pIVAHVteaojz1LQBf6VsF+JOpYL0FFeuf3XUVe8BY04HJls1TrGrBz
-CS2nO5qkRI5e0Gh2FtCzTF7iKoURLWeMWVpskWfO60zkP7F11HuibOkr9ehaf836
-fyvR6bqZqcqUTh/tRn8A+Yjz2tsXeqtIl5Rfr0ujvvx1xH2KZZuDke4nU9OF+lBa
-n3YhknSKdNezQZlP1rDv/YjcVGdy38RYB4D2UPCRnBlctcz1RGHrNLqLbSVpJWrf
-A9mcw/5JgmRPr86amihY3DCYAeJsfSN6Nsp6bljJIbwLZb6XzA2gLuf+tlDBH2CI
-PGDjQjcTQoUBAUwojy4ap4o7dLkd54eCQiFrg+mUITkATG5rECtAkgoBmL1BKHki
-J8FNkfcFA5v8OyIydyPKXRXw5VOvViJeHAujZeXeQb+rw1ed7us1kXdniIYQ3F4Y
-YjDMbO66DD0xVWkh0RGuDaEvdr3twke3k/wrfCBzAHT3ksGSRZWVFBEfPOl57iN7
-c8fkMY4jsYyyM5zO0c9fG01PI1vK1/j4znwCy1Ll0f1WeoFcO7E0jhWqOs/my9fO
-2PdlWOjZ5WuJeabRcL8LubV3xCR23XvKxXiugx0mcN39si+Rv8s+fusCaPdZnpb4
-jHTLaO10J07NQ+Rw0/GzqSuA7ryvt6q3Qujh4pRg8IAhmDIBYk7x8WoJy4IfWmvj
-uyy1ec0R747CQl/+PQOI1/Dhwz1BcwQ1EMNPuUee+5m1L5LbeKGCjMygi4mIlUsq
-NWRBwyoJ6FZzXYf1LSNYF+ljpom/xxbZ8H4zoOrkp+bDQKFtR2y3d6sy+hBzJu0c
-T8P3hucgQC3fayG5j8zvQejtnutDR0f3sALLGK4PoC6hBvrGIl9f5cfMBGe6rONS
-Sz4nY09P0hxYDkNkyLV+sn0XT6H/twDlb56Z8Tgdw7ISLQUJ+jw1Lrl5zEunfhJK
-SRdMNC4Ict64bWLEOC6hNqM+yeJW0oiWxyD7UNwByaXnNSrFQfesgeGRBbZuoTBf
-tNrr7TuLr8/z1RB7YGL2Y7xw3xxKkrAgMNXUd9cCZqUa6ESVfvN6H8dO40n7ZCZc
-II/TFNoPjoqYqfnvwSqlwSEHr3O3ZHZztu602NNXax6oXLmWDYF3oL67fsixdTkx
-pU7wvXVlRaDn8/MMCXBDLmufYNu3u5CjfMZqjBYUqDnQLshLKcWSqYbhY6IrPbrh
-lxvOa+bqfTdUfKUFy+8qbQ7IVi/GDcysPirPTp64FVfY/kx55Sh/zYT8AQ9fUgTE
-Voy9e9QkvG2T+ik8OPMdi/yuld7eqh/mS2SbmwhzMtlhxSMmd83ur3QGzIy2C+tP
-ol8kCcD4GT7ZLcRE53FAw/lreR/IobTiMgrpQcvdAuLXgvliPqTH/ASO4wB1U7FF
-YDy3ANDi82JkCZl/XnjkB2sKdhsSeK4uev0ssiFjuaX3Hh/xQz4kljt3yAr1ibmZ
-Epdf4vLKosYKMAY5AvijPj7wHCUWgKRYouK77m8BNB5A/8LHb/YkM1mnl8ekq4GK
-b8yoNgOjpKzS1w4/KtJBQlDgZYAi813ygjVWnDRX2iPi5uFITWzDq0hM3QO1d/7s
-MzUliG7ckS22FYYiNDUqWlQLhR+f4Ym2K6pqFr7YyRvm7AUvBkI4z5y0ExDOaIr1
-4ttpowXzBRR6sBtAOQp8/KRySjz1UqePWy8ouAChImdUI6iR3HU6e3oPOk8TU1Bp
-HI5bTY8FbyHQrariGAmNTaOcpoqsSu2jCuSflPzhQRHPo+3ociGpi7ywQnrZaP6t
-43wualZhVJ5+T84iLlX06mx7l6Z422i5XhZDkvfQXPU9AJ7X0TUdfYE4gf1hxcJZ
-4PvyGzSZ2XCx0fgz2G7kUCI559I89p2rd6OZZaZLAOx4RyD4ZGeE3/UI2Qzm/tqN
-JPod5taod+YaIBCekXJTdnL2ZjfDnd3W5HMqwHyTvRiH578pzNKXMa+axCp4BLes
-tY4x7jbS9AXJcwwAcnyOZc1FG1ydvyI4PP6+N/tUjjwLesgo6PqTWsBvlRJBIaWQ
-GQnDhyjx//lMZQOkN0qKT46NgUy8fLTd62UbvhSFU+7MQ+d92Zspb3z0KxH9gCjQ
-Kby4iqbKXUVLSv7+pvvK8NcLFlGtShwsjqXWy+Vs5f79rIp6340AZ70UCHfkNN/1
-jL9EI+Op1z+x0XE05NsnI5nJf/FR0T0K97TnnjG+9c8I+vQdROga5xHlNIMcK6eK
-JnsnnHAmxVOPHeNydA+3WygljN1FsZ0YwrVQnSNPDEQf9P0Tne2iuPPOqQmZyRun
-ApqKY4RMw7ou3hFyAqm3jVe3N8gFJe8rrqjzX1ROLyU4Bv1tsLK3kdkd5PvGkve7
-svrSJTH6xjhZRFgqvc5fdiCigEXp0XHo0q3MtvG28M+nGHvjIat/ZALXz507FtqK
-zkQq2/bMp5aygHyLHZLYCruOIkbe/8t1EmyR/Qyb5M+IuCaVti+vmgMydQW6OQ4l
-Vdn3vYAFlocIK1/7SEx6A8gkxIPa3aQzD4rPD2OBdb+lAhJc7VBPlnkLo1wH3L1N
-CsiP7J0Pqn4Ti4imhXzCAgNXIKAMaW805CuNdYnStGZBBqFNxZck5UgYdOVsp+nr
-b/q5UoJrFL/2U0ql3NhFGzzUjq31lSXminH1ChubkAZVvR1l30ay/xSPhQ3kBMc7
-VPAz87uubWH/OASj1vg9+mm7c7XiuAU9CCWZ4mtDTsi16c2P8qAciblJOhCdI9IX
-6kB8m5YGmrXc5wT9uCDxzlLCbQiTQoGnQcXn3PY1Zw/odEkEQmaskc4Jurbn+Lp6
-wdSVIq0FdYYb+895yAQt6TFMxRZHO2UE5OTSIOWY3U3gHqFUPGooK2FMaBPUPQwt
-FraeDzlBh/fITFHfT8J7P+NVHa4YxN7SPruqtP2CywfWfjY1ghvcihf0KB7bWTqj
-LY2W2mc3phQWUiG2gSTAQWV388/wDGvtDbvwGy6ZoSngVfbQIRHRT+Ajn8YLV6a9
-wLBNrxisEbvvqArfmRP6dt6g5PT1VXC4M9GZ4AShK6agJ+4hNUunu0wlbnpYxtWm
-YOTOK08ZXvkMxRpV1QmIZHrvdsEVe9bbft0XdgXLd/TeaEqSLBlc3pcoBlxUIdFt
-WxcfPXRgRtzBKVC83Klp4/gwcvUWbgKaTCKD/h7chEmNek2DeNbLCbixd82eufZD
-TUR8xkJg+QJcQwN6gKptmqGAJiSYVR5b2yeaZe+hjHClBuv19IBJcq3QbDgQjjKG
-3AZg0GX3K1Eovxgpldm7dy/v3rv7TvQyf7U9O5vH0kA4aFKU68az9TM51WCq+xEl
-FuQ/UOduN58FnOnoszufTIG39jVqHQvmWrxT0JzNYLBOoEqw2szJsy1+ZHV+GV92
-O21p1DIkN5yTMlgOP1ooK0NRfhdVjfbC24cXU4TLPR66PcO4jqxF/p25gdRSUJQ9
-sJw/INRqStltgin8WqYidvCR0GvZnFmXhndDHIbRwqzJ0esIk9hf2b9w8BKFiX+w
-KOE/51MRvGD1P6spM+ohKewcz3LJYe8wlJfKuv2mYhn8AhYBuzlBClwAyCW9bWGr
-fI3beLv5vc1AFlPk6axQ9CFUWcvXvz3+glPILXx/5nEBjkC9hJnqfLERofL+Wl4S
-CXajIo0A2XcUHZ1AtQVhtenS/rSdT6ojDiHKXL1/81YNCSA/mOhI8+bc7CQzNQOY
-p0UGy9N3v5uaV2XuAGEoPh98xaG2m6RtiPl0fpFu08NRG+FeMkHzvcFbi2Rbj+nQ
-xb9yftXeZGFiE2cJ9QcalRn4iPOVpGwOd13hmsTmpD1XQHtfCIovd2bNAIryKB+j
-vX4eO1OSW3rRUeVdOFE+UcToqLTTmtOWRbmJhCh29tt2VKwul8eTHBVKMVBBXzXb
-C4vmBZ7Q9WVVMTBWJdhqnbd0BmBASHIHGjw0nN9ZKCs0o1JOS0HERsEIK7KHTEjE
-L1VDV/8A9dBSR+ZiBmvMwtRbJRqU4oem/+9dZ04IoIUzggvRXzyj3sfDtAZlNCep
-fYuGJvHun2AjW8SmLwhZxQ27hERpVp1rrnBN4Sc7cymYIOz+6CeHLecem3Kqe1CS
-ihaJF0uB5b1BNNdHhD5l71nG5h71hYW3cNzrEfJH3b2o/lRDGTNQOFsr9/bX5Rv1
-ul80bccrMbZdirvcVEB5AV2AC10xPqZGNpPb3kX1B4TnSFT7OPhDeE/cER9CT4I+
-XA5CfT1K8DD2wnMS5W0v9X1dYQ/Zl6KAo+jTU6uIIsMVcdU5xRPa9C/CAaLS28on
-4hJ+Jx120rI7MiVVuLNmA4StbaJ0KD/1wyvpG5WSdCMyozWfdPfzH0TZJcyEBJqW
-OV0OTfUKA5kYFtNT4qhXzUKygu8aPxkepzcQZriGUw8WuDcraKD5umq73BHATkpL
-LpWT9SK3VBPYEKmOcIqc8JkmsFwBRv49KH0TOh9S58khdPJuX+fhjEIbRECpnqXh
-UVoTiLbAkGyciMuefevONNPqNcUj39sHZLn/4/QezNKkR30tq1Q4nJMSZqhthMz6
-6DJl4Y5kLofQ4Pn+n3S7iYMzewGPab9fdXUKBB9mT//EBSGzA6Kj9J5rRk4wqZPF
-dqawTZCOhX4qZJgzVOc+BtJfBa/jIEwzSfnScLrrdkPR2gQRzRHuQTkNTwrsoN8v
-h8paE7NBS2uaSSuBynpUaCIQd/H/L1M45qOmtI4723GopVJI/1vOYYO8u46A0LPd
-sNsIumnbJWBl7t9P1zwCXEdBSQl07sHSqQsmq0lxw7LrUY9qF3ztk7/k2DYH6/W/
-qXDnf5toXQ6cznhxQfXisOde6qqIc5mt5gD4j0g1J6tKbFcyZeBcFmDpONC5nUnn
-UsXxhOkUw9VQsS24XIS7ln9R0xEFC8f+zt8cUqPWiMVdpDvmYpZHTd0TO0xSgfQe
-
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_dlycntr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_dlycntr.sv
deleted file mode 100644
index fef493ecfc73502faad8d27f2c863286cd1c55fa..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_dlycntr.sv
+++ /dev/null
@@ -1,61 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-
-`pragma protect begin_protected
-`pragma protect version=1
-`pragma protect encrypt_agent="VCS"
-`pragma protect encrypt_agent_info="J-2014.12-SP1 Build Date Feb 26 2015 20:50:25"
-`pragma protect author="IP Provider"
-`pragma protect key_keyowner="Synopsys"
-`pragma protect key_keyname="SNPS-VCS-RSA-1"
-`pragma protect key_method="rsa"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 128 )
-`pragma protect key_block
-xWrp2t9GSfS+pdWPZ/s56vuC0DS08im7o7amjb3AZSMvzjCuVaBoOEHLoQQtiHdl
-YqcSweegpqL/CmYzG8PHZdnZwv/bNQor5xNoU2gcy4kEQ8qCFmLjm9V5Aractp9q
-a1F8WHz8SxzaK7vvL6XbDrFLrSVH7WxbSisL7BYClMI=
-
-`pragma protect data_method="aes128-cbc"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 832 )
-`pragma protect data_block
-61xH6gzVIxGsoT/9NJnwHK75hVwbCAccTmrq9+u7nX+O4MOmWAWry1THQ8MRxf1m
-wbnFlEueUWuUNr+1ivilTlT4PgIStQGyEc0xVhC+Mih6fMeiVwpMFMG5GJUaS9v0
-oMDRKuTIqeB+Oh3a2rAKjux9ez4p8OwJ38Hm/GZda7HrsCvvFmUxNdNfzcK04dFp
-1FOx4T8bLkcWQ3DUzbqmJ3zGtC1mQT6zephon16i0LUBOmMcTrGizTOuPPxnNF3N
-ebjqxSPL0fsXLDA/C0P2+tSektciwL3Q6NZLgSXCyM+Lu1M0EidELTll4JrOF8j6
-XaxblPQGdKTZMbtIXpVp4ROax9iV1SLtwwe6pkZSn3Q1HpA3T4ri220OyPbYAZRv
-u3yRzkRERC9+bc8u9vSBvAUrR2ixZp8XbidHg5qfTmWpQmSu3wE5O/kvY0zyZpZq
-Mn9dzzvLloD1FI36CSFEk9fg9DecqKsMXSo840M6WeXTu6ATsjExOkNKwsylgJor
-nW7vqR0eGqIuPCrihFGrEs4PKQXoWjQrpW/VYKVLu0radEptu11mWkC8zwmqp4oL
-Alr3+xXnWH45LvzmnV3mvTz5Ow0cKHIPIDtYDoTAI2n2ulEO5fTRqilTY4ZVyJIe
-TWz30I0qEfWe0rq/qlWwyJ0AL5nFzMb/wxjSotujEmxbN37t2QXFjZWKfEMqFnAR
-ndgcmjCakkLbko5Upom4ghrqh6+pibrP+aBB6P74GAeda2JUJcp29Ztswfz9TrQT
-nP38GPg3MIpd0ic9vygWYlBbymV7E1kZMs17qoksHUiSBkjZSuewxcHjBGB2bGSF
-g4ejCjUQHgxAK2ypwtttz/FpvrMPCSJ7ylqP+YKZV4TFjFtJR0yutBUDDdeQVkZ8
-Amwal6feQlX5Ngw6lBiewF2os6+UMJP582lF029ll53joldrFia8UHDZNu3ZNkpQ
-g7OvkS9/701w27Lx/aAn7la9bPKKLroUvUDZr3wIx3CWnynS/itjdWZ2utnkLwu6
-rI/KcxJofaHaMqPRKVu2aeHatSZ4qM+zbRYnPI1bBYSwj0y8xBiTaA4+ak0rSqz5
-OGlXDPUBWVbmKcvvTUOYsg==
-
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_main.sv
deleted file mode 100644
index 6095d9806d3642a538cc3809a44dafa848b8fe2a..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_main.sv
+++ /dev/null
@@ -1,121 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-
-`pragma protect begin_protected
-`pragma protect version=1
-`pragma protect encrypt_agent="VCS"
-`pragma protect encrypt_agent_info="J-2014.12-SP1 Build Date Feb 26 2015 20:50:25"
-`pragma protect author="IP Provider"
-`pragma protect key_keyowner="Synopsys"
-`pragma protect key_keyname="SNPS-VCS-RSA-1"
-`pragma protect key_method="rsa"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 128 )
-`pragma protect key_block
-32W+L+bJZTlgkqWj4dCDwjWSQAPSElHht6Mi+UxxTo3mPxKYrAi2Ujy0Ylym8FMo
-kLA3D2zQyxa4dozykbdxIJOlp1yQKRmZR3bGe0F8weNiXZ2i2asja6FqifAWua4O
-BkKXYpQhj8XafEdwuJ8GOwh32FxNxT7/8e6m2/EMiwM=
-
-`pragma protect data_method="aes128-cbc"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 3712 )
-`pragma protect data_block
-uQFfztqJca1nA9JRwJhcwnutOlcOlAcZ7dsh7jVF7t1AcwhlN78c6iOJyofonixL
-UiKidwGIzrivrnv+45y4lGL7B8r/fCfqCDbtXrZ1bjzytvCM/C1TxFhyj76mLx5O
-EWFZW5rNBLoBTTi502Oxj6tSUzHrHEHY7QKlmPoNcF8Oeq0QozumGyi1pNxersQJ
-VYArsxGSIOAWJZGvjTC6P0PvnTl+XWQw9uLAgmNBhwNjAN+D0l8tNHnfus/LegTm
-oJjsOXN6lnCrudmUY8s1p5GFrK5jljUEfATPJMfCdmeIb7E5V0HDW3qNQiSra22i
-5UOcF0AEGwx2nSf0xB5UIdWMHqQH6MBivQ2KqLybYgZ/pv+MSgcBF9XeF48mLkik
-BewFpHYSAjL3UVTjewexBpCaXl9cGfrN8Gzs8rZeUhOTjfg44xR0whzwbU+ef0um
-PyzfUPu3+RLgkDbonJjrmRdIUU9mgTXGyYUBEIu0AwT6GlD7E+lX3ySJ8o2L8jy7
-eI653QJ62IczhTOiw7a/0YC9HVpUCQcUr/tw5lczOp+zfyrr+Max5H0a2URm+IXo
-L7eRefuens/5ch+zPL6araTWHEWLnB3aqhBSuNS5n3nBZbohKegWNOy1yNMc9HQe
-wZFvcmUudlI2jzwjwK9An2d/dtvNutxt8GTaHU2jBCtJgckSKFFkji6hYTQH2KkT
-2C1BMpgVEBZUocwJqXR4YbMlAZzBoTbVVyF8jz8zY4CFvOWl5QpqR4iY6olK3Fuu
-jOas5XKyZqtZmn4grhiLp0E5gppgUHkVQWDv3wMu/NXFdGiu70RSNzkQHpU+rLOG
-DsscW+SQNlTnNYWbQ/emFI+Sm5lxupZOy4SUGnaC+F1YL5g/+rysWnOKDwX3K7Vs
-IelH1A5F8K1c5WHFPZ7ZapzDK5YYUhLh5jycqOh8gXwy4qgXQHyVMZqM2hkrRJmP
-G+7hKRKbcQgvRFcF7tcArMETcUP/uWa8TFXmO4zRQ5ySRJKKKUyd1TuJXFy1ypdP
-abis1lViijNwDkzJW17R+XInrbD+XcAp3ijULFjQL1A1xbcSx7fc11Rw/C8W2vQu
-VczLj4zZWPzAJx2D2/6Hbzm4UfJ/rq7FUfIEyFLOKGJDAwaU+HA2TETeukjKgKWz
-+b5i+aFPFNtvd/YqsbM1ltx1oTL9lUCIAg1xXiTmUF4JTEIn3zoEWlg+sBnuVm48
-hFMLZytb5mA2zrv27G5TnDNE8gUJ391dNRfM7xaENRRQi1ygGyuXo5p98oPXigXE
-M+iBQXEa6qDwrn0pezOiz1AVXJgfGF0hlRkmCcJhw1OiQ0hJgk0JEbc8NFc/rGO3
-0iVIftWSz8H8s70wvmF9E+iLXlRNarAomXuex0LbVMIzbAwRYhfxUJpyiEUWaxeE
-thQ9vyWXWYukHYU0tFEY6cGbylWdDQmyAH9xdI4UNMUVSlQFLiSKPmOoT0HzsF/V
-Psj98H4Kyhzf9aDnoRcN1jNPE9KDN6qcUZMnM4YFNMR0qDH6E5E3VywBxLrC1PCZ
-MQ67oMBpK6p/bw+jR1EyrHwW2N0/K1fvQT1Hg8sn2V4rQW0HO8fJAjTLuCG10y9/
-d80cxtBF6dLRiGCOGMfjRy3BuWmm6ViEO/fT5ilPryz809IDJECP4AKGiV1NqZju
-yfR+EH+ZFXhXxS9/OQkRaP9gx7tuNH5GOHVE8HIOGcQZliqfIgLg/B3P25s0HFAI
-j5waGLdNzQRouLYLbPWUQKpFxLv1CLVtfKIlWAvMPdLO963JNkg3uLAA6EprzHxv
-SJvVKAkJQ1ZRC+hfaS5HLMQxQxNXIwrDul0X2Wab83OheEY6KDxaDlzlb3ogV0hu
-NbEj0Kh5CgJ6iSuy1Smfji8T81R3t6xLSH4VGtPL6mPltZMcwyvjgld7g3EBIFEw
-/WoWjyZMuMlZt9owfTtyhvAhFvG9abIcoobURluJsKP+rEU0u0RpPWa2A5H3b9A+
-+O7NrRArSA0ap/7AygfkWrSOq2C/TAlTWjnHyFOdrk2Bag73z9FQp1XK0/uaPxfn
-7YJ2YxyOq+s0xu/hOJpHJydKou1n3cpVE3R9J4/H5n+9bGwOLaC1QPXjSV6no03A
-IVM0HR4atjOB83oElOqeBjednqAXs/U3DSj5AYkeUnPh+p/h5VshXidQjbRLfX8k
-hfkwsTQd53+1LI1VNhAm6L9KWbScixbmCAuwLSN743PfasBSd/aj3ZHFOw3bG2fX
-ESFicAOQzrLJnK8pe9tJA/Jok//DmYsOsHgF7GZVQ2o8HP4t2ajE4KSI79XuXtIf
-7B/RwoCKdViMnhC4ZPI+CCOiFjTtvy8SPXwrxtmupFZhhof/ItfeEDL4ixmqmIuM
-cDsaFSgF6nKF6bybkvVtKKJFt9PfImg+UYkPbEA3yFor93cljHb6qWglME4bAZvc
-jyScjThdd0PKnj6FwgwZ53AqvCsU3evNJk79eF5PQqs8EXR7QwG70SEN/GiSKsx1
-8gpO+WkRl0vOg7WzwrLKFHNc8GhlWi06lDJMJIDYqI/lZwvU9dxW7Hbf9UGcwMhn
-3LLDMQwveGAB4r1wxC0C4lBjpMi/WIHvxwcY3EXNiMsmi8EkHCx9KqsfL8LBh3QQ
-BUuWJUl7P0U0AEV397M8h2Zs2+rKbEeNFW31vxx8pkW0S0JDRBqf44AWzuk/Scea
-MiJYTDgQBJHgsqPcPKZIjk4lnOA+N8Tel8j9RAcfQQRg/bdseRBG2+gTgOX9sjJO
-U7VpyA0nimqmU7tXhU7yAPkb/cvvtvGW0rY/dn22Cbm1Iv8OHIY8cL3p/EltQtgQ
-xy6LPQwYI+RoBEoWNXfGZmcmIYTs933MFAQigIZBnyvwq721KAaSPKaEmHsJxU6J
-oDs/mAnhjbJ2XXjb7ck0xtKEpxgAH8AOx6ZOjthj7A7iXI572uqn67EgkML7dZOv
-OIGwA3Kyb17nAxnGQ7Hc6pXlZsjR32y8NdFbkiBDTq/VJeYJ9ofwSP5sZpxmdz0F
-9Yl9ABA03U67q8eD/qZCYiLMnSjEWsSaNJL7imuCLzyXigswR66d6BVq3CIrGHZb
-S5Y4BEX45V15qqCHiGsYtEUVrxSgFhRjW3cB7+WvuQ8+fG9wzaYBV3cbgTuiyNFY
-KbJkjOqqzhgvdgdiWMhq/bJ7doDfRNcM9sYSwwzeMmy/k0ia3BinstdbHm2MM51/
-SCVqiIb9pDG94XB+dWwU+jEekBaECAzxN3jmbPp5MhPJUw5UAC7dvQ5NjuDe4fFG
-bFVjolMUvWFb/ppJ0YrqgtQRL+QV0RYkjUFq8u82TVk5YWXxW4qTMIaFVWz06gdb
-5KQveulK1nqfeDYacDcRVbJajuwznm1acSo+EFOW3Yfyzf+llcxU0eKOgNxnYDoj
-nqO3fB7ffJu9ziyOtaY5AAdW8sTXP/pS9dSKcz/uvBh1swEQqKK3fUMw3I9tuz/P
-bL3Ko9IYc0yuTv9GLJNfgspALRxfv6LSY5m0NMKSoydP7CrdC/VnlObbD4NPp/dU
-/WacRfxVyiYszQl8k0k6I+qSGuezeqq+5mPiRYexYDz6mZYw7UBxbP+c94l4Ha9y
-JG7fvXvqPcXLWSZ9FsTTseLfGQ7ar5GXPUj9tpbba2sWAhA8S3CXWx4wKYPW2EF+
-C/gB4oFKi/vxC+jtgSqs+cjDDQ1AtOZbYHBVf/DTqOzVGgoRAiHSgO94qDoCQnNk
-zUhijfZTXZwFS19uCaSsS4lz3ttOxsk1QWg2MzOaCbNib2qZrT23jpHoBOXX21Nx
-difKJbdtY0i4nBwkpQRRPHHu3tkeyoJl7F47u1dLGR0QX8AyJe7GSIR8YqYyEz6q
-85NBFMchwZnluOiTm9UVX1NqrfTVIEMlLPvMgVjimwIDd3fFyi+HYeYuZ750S/Ib
-aB+7HsBn29CPruJgv4Mzse712SYRaBQeyNtQhcn41UdqAbv6AlnbM9Yk887ilgBY
-wQr8KDvCbMqwoiuCXeOKP2rmPeHceEElBv+J05NCRjphgUZnHVgELIX4rT45hYp9
-sGo5R9RP7qz59vKGPO4whPilJbYaYFNWmCRkVzktlxR2GtQ8IRwPoxzPMkzuD3YX
-KP12hbaXMrk9hYso4zKRAvcdcYoK5oB05DVbd2pnKdgY8LiUnwAb7ioZE6GJc1NY
-TtMmawi1CFFztP/SnhFTsQ9+pTSr+kffyTmGn8kO58cl8mGVVuxdBtKtyveHxkon
-NDiawZ43vbmwhgicznzIB4FdKRsUxNFEK/0A/1GmIoX+J7kP7WT84EMNN91ocsWt
-YHzyOkV08CUcKrQv8HpBmCEDxgg3HZGPvxJyQxd6ZFdKIuzCKASOBV5033Iuc2ye
-m4oKwMHpuGw8O1ttmU4Rs13PDhxf2COEjUVfT5M9nVwQ/balg21XYMIFrPCVGOrZ
-RjuhsNe234o9rizPVnVt988lZg/+oT0zQX858WP/Etc8cRvyJohllmGrngKJ6YD4
-aeIK/UYOaFKBXdVKOyLoHHnpCmT55TDZJetOCa4a6GIsnfLk4k1jyi2fA4tVObWm
-IKVcw/W3UEfTciqFdn1jNDjZBosbH8yldA4Rl7S75qQJh6w1/CfXAQvPlAjS/tHb
-LKxGx/fF9weR65HtxpM2BnrEh0drjF8Y6hRvECUC1rTHAIoSTQF6jOQWR9ZXKI26
-G1RoXImQUVorUENFTgWXZPBCXA3CiQ5k8l4rd6YeYvFv6tNP+ifuWj8xgKwL4w55
-Q198NmyJc2ZvPU/I6a4unUUVWzIc+G8350UdyOvSB8cU8mQxJIACmqlLy0rVyQpV
-knnuwcfqoeM5B/3Xwwq51Zkf1HKhFE1XKtWLu1HXp/IoQ2F+b6xpWDq3nzEb0Viy
-CqM9KpqUmCiH7n2seZje5oled3tY1SOh1rP9pxuDVyG1F5aMfhGcy1u/qWMku6lD
-j6+HmiY7Ot33CIXUA9Hyaw==
-
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_seq.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_seq.sv
deleted file mode 100644
index e844f9e11c0d5afbb14544be225d4e4e75480e0b..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_seq.sv
+++ /dev/null
@@ -1,160 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-
-`pragma protect begin_protected
-`pragma protect version=1
-`pragma protect encrypt_agent="VCS"
-`pragma protect encrypt_agent_info="J-2014.12-SP1 Build Date Feb 26 2015 20:50:25"
-`pragma protect author="IP Provider"
-`pragma protect key_keyowner="Synopsys"
-`pragma protect key_keyname="SNPS-VCS-RSA-1"
-`pragma protect key_method="rsa"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 128 )
-`pragma protect key_block
-Yxx4CCA46F3gxGRmmv1TStrGgzT/4/NVgIyg1HxblMAU3ZrbX5FL7DGkDO+3U5iN
-Ja66raEekDJRM3GlcSE5eeKrE0d6Hc2aeJgUNV1eEI50jncPqnuWu9jLn51P4+cI
-SKyropd7Krlz81GdDsVuvK79b/GgrxbUI6rEg+RS1Sw=
-
-`pragma protect data_method="aes128-cbc"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 5616 )
-`pragma protect data_block
-9thMnF2w5t3GyxwEDg0OF++45xQnnOr8xQ/avJoXV3CSNdwFYNYhj0jdwRAVLNaq
-nqhwwg8eHJKKqVepIP8/bGZNze+HJP6yfTEZXzySmx70OCnhmwsxzJXeSVzb7YAk
-M8fXXWPY7bu1VwFxuLxUBSDCOre4DkxnKFRGnIpDaG6/v50DNuDMVQoZjnJtLLl2
-hPniDe+xjUa4I1AIHuVZx4aed57ZQD7nk4ksv9Sso0BzwgYAk71ufJNTEZ9HIXOY
-KNNSWwEDv/4z3T7pkNgQmM1tz3FJB3N1sNoh7i+IBjTDru71X0gz/b8w/OV/HBm7
-OdFIPARRAHkdAVJtncQrIOrzlweXrC1ltiyRjkDVtzeKl+LuBXCgVO3y3tYOwXUN
-bd/y0pSkIqUPCObggRoN9hUh0gVLZF2NClvZEk8/8EKnc8Jf0QuiVDG3yyENJGtS
-rjj2XzmPt3K+5L2pv6xLbw/4lBgGj0+VasmcBRn86IOM6QljD6X+rnggbQSVvEzu
-1PX1U0DWLSWhoWwNNKoD1/VPHipPVD7SjjgY5D6/u42HMPG0jUp6Hs0micWelVA1
-aaEIqB4WGg2rXm1TWlJOeiT/ZXIwykac/Ypu7r+8HXm3HSG5xnvmzbO/QRrWnqyQ
-TDMfyQTrKPXraaps7s2klwzgMJ7n8pdHeq7xl4ZHmR1+m+d6/yippLCEgs+0n7mT
-oBK8TqgXO8TSGl2GBl/f+TKzboo2LpLSjhcv65dwNL0LyQGwBjqAzKzobJLI1cMw
-9Y+Dosic3nNsIYr5VMsfVY+tsyFVc7SOaUZSb4yXwOncBUC0cB+7wWxz3LqTOKYr
-H0JMpv0wOZd9exafwTgEZ1ziWFPc6wmA9Zr806+TtTDvYJHfT8ogh14L85r35mzs
-P1SfRTbJgNKJtxNCNTlRs3Xl7lhOUFCL1y4+Xe/duOtAsJ0ieaxYM/mttKPPTPsi
-HaBAoYjPJjpb/f4EGIVvU/IXq7AGa5DfL1YrCKylazOzsecLc0fqSDoeyiR+qNKL
-ksafSCHfO1HnqCb/mqwiIX+2AGt53rDdD8EKZuh0wym+1MwsKMrEjoymE5L5EVD6
-yukTIwcY0oy6vMNzeQSMCvDqac0ooZDejzpx6VJF09ltJhT1agfFw6RU7yC8Fi3R
-vjxyjQFEh0Iz2EkeSKjccvRLRighZKUKsBn+IsDXGqxgJTDuLgpz9Mrk7mRhBFD5
-xKki6fCw7i/QCfkVTtqR8BIqx7FtjHvhZV0HxhodNCon8DJ3YfwGoAoHxB8lYWwP
-CqecIPc2XoO/Qkc47plyW8+6IS2cikVtOUaoMFbxt/dcfTpRwBX3gGuzdm+WcAQN
-ZKkjPLzNoVY60DJ8DDCScq41LewCuGsxUtiZhBxa/S9a5SqAi3hWDrebsgnxUFj5
-bbC6Gui0cvFGz9RDfGi4tq9gChl/r9qA8YfEkjC1Uf/VITZvw32VeyUu4jMtmy0J
-D2ncRi0LVo+Un/IK5pohU5Xtqq7z0OpWltvWQS8n6jG3I0qpSy6lKVDVhLRf7tt4
-/ez7GPGJKPX6o4vdFHkfQpL4l/DNNYYcYvNrKgwDK8HTfuEtlE6kTbJjx3f8oXCI
-Ex5egU+RYDgXqkj82IL3IzpzELf6XsFU/u5N4MopMr+LSdtONYwkTaHLqb36XTyA
-r+zO8l0nCDzElGCN4XG2Q7rqxsRfDh2jGsp0ybMFjCQK9+fWpFukhZCq6ACwRtBr
-UayFRO4cIpLh60E5m6Wkyv9kRb7ZAnnwAub1M0kyLsupkhIOTlY3cbjMu9M/dOaF
-AafHnO7VK1aYwTR9xHQyOAaj1ErhpyCAJJcwiR5ySeWaTCpoGNBO14K1ndUt6nKa
-QCoRkEuKITXPMfDyx9QA0CJ7/bao0pd3x/oHGKAMQY/3hL5L5cdRZWD3VkpJh+Fu
-E7ga1Vpu3bZkmIdftFpfCBhdtGU9FO2/Frs/ZCRGH4IvxVphf9Jh6CPjJt3s+Plx
-T+G+Vg8+p3ZqebLsdcxZ4Dt+4gATUMueuQHv/IL82OExw9zBcTxhXHxNMh93z/ax
-mQ4fk51mah+8aT2+EQBmtmbeALTSprXGEjrbgaoIw+IWuuyWLCtP/V0E8GhRVHS+
-eZUdvrIHQGfwxZHrAk0OqKcix8SXKLJfMznU00L1UbaK4/on692VhJDZnk8+NEJn
-dhrE40GUR22/+Zyqk1HX+tGMaVE2V/y0AifcxmqRV7WcQjBYCwAooaCfxdoCzXwH
-Lqxr3L1/ABRec2XQsLKNKjOa6vwY9VG6lH9IYVdQ2A4ofoKQu9OXGu2KuImVzx92
-ALBv1+obEEaebrKHm6ErxsF6qHovaRKKaG0jLszZAE8e93l4sgCvIfmcRn3N9SMf
-jm1WR73CAKodOKlKFPbreTfpI7uEXuV6wOa11+iR+CWfzPMGYuo9xyzZ56BpzobU
-dxGDDBK+5CACTiLEC+F7igM4sq9usxFp3TLt+bIXlblKtTssMe9ID1T9mgAIiL63
-hQRg/PgfhBt0Lb6DY/eRNiS752hABLLpSu/B7tC5OvjKlyiMUKHUo+X7MHST1sdh
-g5/t65U3wuorSrnFWi2Tlm8bnk7Kj5IBuQ4sVbDgUQfkqPPOxFvZkk7tPOTAiNFj
-qzdzsG7fuoxiD2PedKYwzSAfQifCgmO9+WZU/uCodL96UGTC8Jrs5UQKdnAfKrDl
-oyRaWsNQLngA8H9xi8by/am+kStPtyscdLBwtIypm993JWq+J7xHvaUYASTfc3xF
-9DIKxyDKSUuMpE+ySbvi6Kf2bXZ30rz+fMkKD5cSuB/hVdlltDbIOldIftYnS0e/
-0/4jpaCTfaM/SzQLJ66Y0VGv8kBAgZAMLala7LumLjGDDR+NGYass5foedn90TR9
-K1gEMFjZOs58yhZZ7IbN+ntex90jxVhNBBRf54GlwUDxGaDkZwvkMMQJJJ2jxuft
-kq8YnFwkEH1L/AduVZe9PP1UbXIPlE1l6ALxOCLhMtrKAbT5gJjmHpNQC3BBE8i0
-bBMwJref7qD0YMHfKMgtapQddOA1r5wc3HEHQOwnSOnzOb6ytlwJDHU8kgKiQKFC
-jHYkHKgQlO3W4Pta0v3A5G+d5KwLYLUqEQeKI1Wo6HOfenNhJJOReW/sCT0C5mom
-rjc9aVVNhLmnQhve5Ae+rfzwWu3k7tKHsQi0yLg5M7PLAMlbss4K7nCNPiSV+27A
-IIfjkvkCx8PxmN+3H94LKDgHwNDK4EXQWI6daD247wDb3P3PEi4DGDSN2XYotwiv
-QRhfS4BuLhmLeLtT7A2/D5Jo2TnjH2dZcN7eV+Rw5PY9o9BIyF/XQcWS/ULdkhqM
-zgwX1jW5fVVGMW4Jmvdc8CyleF+ORxocjkFToi9WOrPVG/I30X0IdFTZyYuBtlQX
-PuYDGRVgoXDoJ/4qijyqO+nO+YyHTfmitepYy3sa1WJWOBRdLqhyJwrLBsWo/H0J
-4F+54s2xQ8+VTTtuMnSC1ts98RYUEQiORWEgRqa0MX2RW/ePWjbUfh8Fs9oLpzHY
-UBeLSucb3mas3tVupictxmByV+tmuaP83jyiHr/nkpwYCJ7v523c3We2px76be1L
-K+SZjJb1HGohyuMrDFEvHYn4/w/XAiKeGbFvVytCSUedYwNq80h7mjmQ/0xjA/he
-NK6r3kAVUtRcStGObrzqV2o+epnyAquPYPSHiEBw1ntZy5sWJ417GSz81kfaBvyP
-5jL4hKLdXWkcs4QlnpYYZJXOwP9O63lBAYeVninLWaeeYPCtAPRpfyyMn3D95GoO
-a5xrNhm3BMiFEyyoWWM7q3V3lN+MkevQB20IFjOmuTaSF302FanCbEqecWLkKrD4
-FbJ9b9twQ64XMqT6vX4K2TD8fzUpvvqYdJdxGdIStp4BYPP9NK5MS61zx+8dogU2
-jzWfIfkQ+8YxrGkJU77pEikoyMNE3fGjZRWWnrhi32DPucDqySFUkLtKaKemR6yz
-t48XNPLsals7PPpqr7klFX8IpZXwa/5YoH643x9LsrOYlVqlRAgxBJcGCs2HeEzX
-E9U6QqADgW3mfAQvJlHZc0VfVkER4mES1b3aHl9J8smpKPzqnCE3P/W9veTJDpo1
-ZppZdIT01ZVxthiAqmLihnDV5O8kNyCLOhWIKQBTRU4uMx0uoP9VLqwinA5ANRwj
-mWgJLLnz02fQE4B2xn8+Lpmv4GRLIxGv4VeU88S0Pxm/QVJo5pyMFw3a3/WiCT3F
-lLFUhrawP4caxGUf0snV3JmpH/ap663V7M4ULImSsdGIlPL+4p/LItPXW7rl7c2H
-2HHGRPsdkpSitaaK1z95QSYvj4N/8AALL90Yu6rG/fy0tZDhFsN2JfzgN6SB6Qx5
-+SQUyvsq42JVysbLgwF4jFrw9RV86CyDD5dkyvt7/7B8wjR43wgGpY06cb4LsK5p
-1t692b3We+DLKpwUPLxe/8l6KmlRYX2A6ucUCi37lf+PM3yTKaty9asC1dAR4AKh
-bUUcQzZzvUsj4FlItpqb+zJBxYDy3g03oN8lhxj9X6mwb8wvEhFbB7IaKCZPeSnz
-GuXN8ZdOTD9Eln4S/yvj9Qq8NwHh9zx0sSLewbOU88Dv9s5+KKIgq/7PpJlOD+z7
-6yPkUZna7XomO9Ua7qY9CRbl4UShZLtZyxiHNIfDTFPO2e/m5duADppWN3QBlRQs
-icleBHqGazqu08Ox4wB0B7+6CQ0CnOfx+vXN37gDAW9kVljuQ/9CS+4WO2vj+5Ou
-MtvJZRHE4OMSkdl3eeoW53YbK7HN1Nc2apqsqHwEUfMIDEeIoIX7grLyws7vbdsO
-1hEP6Lsg17Dz94sT4P2U8ooVttjln4Rf47ZYod/Snuu9mToU80Uy4DyBkvQQDStE
-Dwthu9h8L1u2D33g0Ff7ZcZ7cb4JEoeZjNL/2n3Bf4lUIY2R76bvBsF+cS+HHrw+
-a8IZNoVRwgkTO0cWCNyLVcfkcNJF5y1DpgVpfU0uuJpn0qo/+ZbZToPsddUyP1aG
-QdAu5sJ4FUPkpI9HHjpvmugYyra1542mOIKIKWXHPva+Mujs1ihRA3Paj4dDxTmn
-MWq9hijm13bqSPma51TcuF0GCDRAjDGhfHVUkD5HgGdHXu6LJvZj7SGKRvrNTThP
-vpO2zK2NFd8McDF5qlhjcOTwzpggUtjuuTTaB3m5X8NX4H79mKVtJO68rDYMuTX3
-w1ZYs1fa+KgcphFvJlf84oU4jQn7fxHe+GHUMkfnbRj9KMTpB1Xtb7XIHYg0kiGv
-rDan5QJ2YVtL419M/ait0GsAOHnXLC3LvRJEJbZPMZNre4ltne8NmRzCmm7wZ9a6
-a3bUZv0AhHhxq5w6ggL/n/QlTbTcZUvrUxbdcBRwtosu9nI8ZMzBULyvod5v5sBP
-G5/RpSjZrp4h0VRrm4pBsjEGPBwDwGB86X8Bu31kafXxSKJM91/w9m6gmGT8aYiA
-phpzlR0Ygc5EoIWnsE3GQpgaJ/2GEZICTM2YyEMBbzjUDJFXdltVqulYJ/KXAFfX
-FDdWRBsyuRemLTvd48+HLkSy7ok4RO99SldtvPFhnlwgzKw07Xo5asUJDua0DpSr
-KBzn8mSSsGqVQdzsiy87WR0+boADdCz/pgN5uQ+SaWdEIEcEWRO1ZH0g8NtIcCDI
-zj+qYLhPJnX5bYcAQCG88Cy1Zmf9txTnKhfdfagm9w2WrzfsN7JjkYCGQ9wEyAP6
-S5nCzeTrXa2RWAV4hdCGvCJJ3nfa8EKMUUVal1KemIYizB88ddCp+UwmfoZ5ONpT
-kbVOgA4QunlJWedpDbfImSrYPiHffpFqAy5X519UsyDZmHT49ZblBz2KP/2YShHp
-Am8o8wzM9Qko4q2kDoiAuz941usvbO+u+JjOnVsBXZqzF5A2JQItWIDhgvycnhnD
-jKDc+iw8ntsZeCoR+o0ok7BxQwAnZrg7M9Ee5Uj8SlAFph0gy+UjQHaZR443ZIJ4
-zT24x6L4JEuXSiTU8DAYO10CsI36pVWWGmkGyvZ3rd+3WEoep8NpUpZWdnhJnNdZ
-C0KpWX4QrxUzvcGFwwx3n5NHg9tdCA0xnIn4zOWRymGOPnnxBkKl0FLJwiNB4v35
-BuARi3xD1F89IvKauwi3z+tj0iWKMg6e79kivXrbJb0EO3rd9AaUPE0ldn6mMCMC
-K8LD+iMDC0k1u7YTZWLEA3YHdpp4jJUnbTunfn1Fxrf4K2FCUj0qdfOUuRpBaw5I
-C7GboPm0unYF+xcV7ARrmlrHh64/L3o7wIpBkJwrtbtTcxwN0T1tMXiDxI6ZiISG
-n3l7xq6gWJGuws/yG9LBLvUdxa7UbfaLiBrigSsYkzLcYTZUCT24jZRckf93Q9UJ
-Ctq8KA60VS6mr774pZnP8FRAEO6mCiN/K1wH2sORYGpRRGv9BQp+7Uxko+zLgH3Y
-D3byyvSCw5Dm+Oi7IPxmlaNobyX0uLeS9dzdrl//7OCQkoNYiXlQqpEiOnQBEcbn
-6EoFwFBoRbePk3Kg6L0fUWpJ7WPXxSthA50GGii2xnapeIbMUjPf9zmJr8MTGD7P
-9yTZT2BpwEdkiFLvlU0mLpkzP2D2Cv29LDv+MnZyjA1cDCM+micti9q/wqlicfAs
-viz8GU0OrXq/WNVxOLt+ba6PPL8rhVVSB9gSZg0rESBg2sDb78JMSgbQ1lGiO5iY
-osBL9Nxu7jIC6wKdMH+eRJIZyf9YSkcfgmEs7XtUL69gKkloGAAwPQFep2dyU6Md
-XzBOXqF5hgrv9mwnv16qVU67P+2UF+FeWgRQTGkSH/9AZ0CSwWFPuThmtGGtjoxE
-XC1akX6A7gZV7Irq1ODxVK9cbthh0aR6ccNANTzUNpv6Te4NC3WpXANnkfeMo6Xv
-Po6A1TEgJFTaP7b0V4xL8RiuR8Cn5gOox7PbsEJWrlJVYqnHQ5UiyrIpg7y1J2IV
-G3ijCFSVipUZPM6iyTh4AXfoUnkd2mQ7ei3P+FmX14s8mU7hSsR/L2C/dUl7VjT0
-BpAQL/PHI2h/nfYAte1AkYoHXmtp3LnfuzPMqdj9QqH+jH6e8IhYdcUupsKY8Pon
-mg7mEz2I0st/m3CaSp1OPEoi2NKTSnrnivj3hxeecWa1V5bR3JFoBqxNO53fh7en
-roIjS//4PTf9VsEaQ3xHEMvd53YYAs95VRru+8XNiAMP1/63UtLzWcLX+Q7A7HcZ
-7JNsr/OxqDYppDHJr8xZbhH/x5O+3/cO4TaVXEZO85Kwskc4Wjo2Wqa4+6AWRoKv
-4F0f3Re9m3nkjWSrkOTI6YpRQvVFocbGLShJO6QvB+OPzwNhzqqRSBXzMmsLKPs0
-Uzdfq7NgwNlHWa0MTu8X6AhoBc7Z/aYfvG4isihOOCLC2kZO4QRqnJvdPCxSUAvK
-birNfXg10R6Wkw7wp3Fk7BtxWoJo3RHewvStT+NxFR+EPHRdQNtlDPNk1tH+Hjz4
-kf7UvM37a8XEcqQ/Ndw82Q8eKiyUHvDUymcfiTkkTu+S57vb0jkpqLMdettb68Nu
-
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_synchronizer.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_synchronizer.v
deleted file mode 100644
index db689f09ea79918ea65deaa372e48d58f8b1e209..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/sim/synopsys/altera_reset_synchronizer.v
+++ /dev/null
@@ -1,77 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// This simulation model contains highly confidential and
-// proprietary information of Intel and is being provided
-// in accordance with and subject to the protections of the
-// applicable Intel Program License Subscription Agreement
-// which governs its use and disclosure. Your use of Intel
-// Corporation's design tools, logic functions and other
-// software and tools, and its AMPP partner logic functions,
-// and any output files from any of the foregoing (including device
-// programming or simulation files), and any associated
-// documentation or information are expressly subject to the
-// terms and conditions of the Intel Program License Subscription
-// Agreement, Intel FPGA IP License Agreement, or other
-// applicable license agreement, including, without limitation,
-// that your use is for the sole purpose of simulating designs
-// for use exclusively in logic devices manufactured by Intel and sold
-// by Intel or its authorized distributors. Please refer to the
-// applicable agreement for further details. Intel products and
-// services are protected under numerous U.S. and foreign patents,
-// maskwork rights, copyrights and other intellectual property laws.
-// Intel assumes no responsibility or liability arising out of the
-// application or use of this simulation model.
-// ACDS 18.0
-
-`pragma protect begin_protected
-`pragma protect version=1
-`pragma protect encrypt_agent="VCS"
-`pragma protect encrypt_agent_info="J-2014.12-SP1 Build Date Feb 26 2015 20:50:25"
-`pragma protect author="IP Provider"
-`pragma protect key_keyowner="Synopsys"
-`pragma protect key_keyname="SNPS-VCS-RSA-1"
-`pragma protect key_method="rsa"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 128 )
-`pragma protect key_block
-p63aUr3HyWgiU2JcWQkoZoUp6Qng2oLeKl643gBhqPm5W9FRMfLIBHGQMfLHYbjS
-RthbvFcjEI+xdrgCzDbE2Aqe8QyRPZ3YC9NYNtlNWYM9noYjVcBHEWDxw1G0rFhO
-TGgZW208uyKeFwfZSSb8AGcD7p40jCu1xnQmQ9AHOeI=
-
-`pragma protect data_method="aes128-cbc"
-`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 1600 )
-`pragma protect data_block
-qbAW9dhuMrdteQDeVkSye2Xw4fq4d6JsJhc7vAzJUIVPyv8SX4DInu4sfIgE4FqO
-4kqf9chLysQHI0EAQcNfcr4c8tuiGHvaUVsK/bpqF3pXaEJfzhIiOO6EEbYiKGJO
-I79jCGsW3mcFU7BOIyari2k6YIbIbsFqus8SVA3KwalPAUkDQoLwg85KWr3suNCN
-QIJILm7dyKhJHrUjFfX71L7ZM5j2eKoVkd4yWYvzGulEH1HHSvV0tU9RMPDuRvXA
-uoA3oX+f3ozWFhRvxOkkLxllo/lZiiXD3luNxcBtGb6HLb1vPMimslZzcCXIsqNf
-Tl/vxN2j4JsA3040Pj5Q52IF5AWWfTfZI1J5IVftBVJHnwSCXOb3IdmhH0KRjTme
-ALcJgYeeNq2zSagNM3XI+E2GONYPA56gNZ+6/bOyNx/NRr5dPnl/9CJ5w3To0onV
-Yf/NdB3HBubZSaQXdZpmsAa21VbU9hckhezYBNX6CyFB1cXq1ltKljJ/KlWCfUFw
-S5WtwJqu6hnr1UhYSfBfkQgOEU3hpTDzayC1hT6TZKF47LTUCXgjAYc37hzCquAw
-HDnRqyKKeewvgdspR6p2QZv9++R4Lauzd1k80lK1y0Jza0szeg6q/tLFQvTOeZcj
-NtfDKItsKLGXTayT8p4emvAI5Z9fX1+/qX/rn7FSI3RPa10e16eZcIwyalrnUmBQ
-uOtbPeX4sSdn0yUeR9h45JCQMwbYfjayFai3j2fpv5DcdkFbK88JRz+zYv6DuePc
-EkM6IZ/p6s1Cs5i+lg+ZjYH4vNoegu9pbTUQU+TNuAa1RcttiRxPXvuSmWBdaYDq
-CentURAAk9R4kVbuun70xXaePyHyOwRB4+/9M3d3xiNr+DaYB/nds+erEXxP/gPN
-S0zlWpmCVmc6SpEff4+MFPT65kMzy5Z403sfIVMnV3zhqO3MAAZSq/59C1q8/yST
-cwJ5u/ugppHU4ygsdH8LtAc1ua3irgZLZoNkWf0S/HUE+zinDYu23dew+dIIrG/+
-nWzLVCWolM9qOy6ctjFrDSYQRlZSGWGBoCGp37JPrgVsE/URzhgPP6lUG8+Bmprs
-KY5oHqoowYwSdwlJ0OaQaV6ldrC1CIoaakss5P0jXn2veQEvmjbdZyd+B0fPYclb
-H2Cpy6XTHXO+rbVdfTGxAdDP71wOBqG8lQVIN8bb/UTbrDV4ee2rHZMpfIV9esl8
-Rkrk1QVHafojv58gtAV0tbWoFxIC+/gYRdRXIsCEdDl/VsvL8r5ioLtnI3oaQoM+
-sA6GqeUqwAfd2kXl0Jgg38V2Gg+GuZXMpz8xyIpHXQKFtC+P4PasguqoIr0KhovL
-Vpkz4IImS/2eRe9S9RLziOoE7u19kF1aG9DREdcmKvNRCH1pgby6WeZLmD6RbZTk
-hex/Nj2YgUOuKHkSM67erGjMioKKEX3EvSak5WdW7q13A6WIKNccnvF5Vj9rhQer
-spvg89VIUltbEEJP+7ckiAee3r2SX7lJM4fqmx4/r8RwAc6KPsfKCmxkNQzESZ6d
-iweJ+BcA8nBv0VDTU4NIdEKERQuDOFiIil0YT8UYXeTbPC/OP6FUt6AYXDFJbBfu
-JFt+pDgEvNms++6OOSHxlvxruDmsNhCrjR0LZakdVMrynCdNkV8RjwwZ+RQkjeAM
-II+JJeunPLRxWaStSDbDE8JLD+AFgglsJosSqzeuw+9e22lmlKQxMvNUOjnu4gcd
-sUznHoQwdmwv6HkgDqjSP+z2Qrjy9/uIdqB7TIt6/jLhDdZUyUvMjXBL8beEb/ss
-kB1Yrc5C73+weYPWp9hGMILbbKyZwK4rlo7fynQ/zcMKxone0kISdMtdpJWjzUWR
-04ZHgXkz0AmLUElV6NNbU28dl7x1J2gKDqJ4fRbeKzgzDTsd9XPKGvA5aiEKB5JR
-+YDWtPpVaYLCZmDCIodtQJIqbmyBQCzi9tebwDgXlP/4i2iYcj6XAW1yATFMtLGX
-/Ff73mHNrSW6IuTcThurfB6K49E6CDvGfo5HKfYl5HQfRqMV2eO4BXMuxcQ8qRA4
-slKJ/g2RuFPU5GN0oLn6O7J36gI+Ij06FSQ3ynmsiNo9iEhr0WuuXugv+fGk0z40
-enOcno9g0Tl//WICFUZw7A==
-
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_controller.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_controller.v
deleted file mode 100644
index ba765914cd2b730c6b7b6918c11e16ffe6225c0b..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_controller.v
+++ /dev/null
@@ -1,319 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// (C) 2001-2013 Altera Corporation. All rights reserved.
-// Your use of Altera Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Altera Program License Subscription 
-// Agreement, Altera MegaCore Function License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Altera and sold by 
-// Altera or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// $Id: //acds/rel/18.0/ip/merlin/altera_reset_controller/altera_reset_controller.v#1 $
-// $Revision: #1 $
-// $Date: 2018/02/08 $
-// $Author: psgswbuild $
-
-// --------------------------------------
-// Reset controller
-//
-// Combines all the input resets and synchronizes
-// the result to the clk.
-// ACDS13.1 - Added reset request as part of reset sequencing
-// --------------------------------------
-
-`timescale 1 ns / 1 ns
-
-module altera_reset_controller
-#(
-    parameter NUM_RESET_INPUTS              = 6,
-    parameter USE_RESET_REQUEST_IN0 = 0,
-    parameter USE_RESET_REQUEST_IN1 = 0,
-    parameter USE_RESET_REQUEST_IN2 = 0,
-    parameter USE_RESET_REQUEST_IN3 = 0,
-    parameter USE_RESET_REQUEST_IN4 = 0,
-    parameter USE_RESET_REQUEST_IN5 = 0,
-    parameter USE_RESET_REQUEST_IN6 = 0,
-    parameter USE_RESET_REQUEST_IN7 = 0,
-    parameter USE_RESET_REQUEST_IN8 = 0,
-    parameter USE_RESET_REQUEST_IN9 = 0,
-    parameter USE_RESET_REQUEST_IN10 = 0,
-    parameter USE_RESET_REQUEST_IN11 = 0,
-    parameter USE_RESET_REQUEST_IN12 = 0,
-    parameter USE_RESET_REQUEST_IN13 = 0,
-    parameter USE_RESET_REQUEST_IN14 = 0,
-    parameter USE_RESET_REQUEST_IN15 = 0,
-    parameter OUTPUT_RESET_SYNC_EDGES       = "deassert",
-    parameter SYNC_DEPTH                    = 2,
-    parameter RESET_REQUEST_PRESENT         = 0,
-    parameter RESET_REQ_WAIT_TIME           = 3,
-    parameter MIN_RST_ASSERTION_TIME        = 11,
-    parameter RESET_REQ_EARLY_DSRT_TIME     = 4,
-    parameter ADAPT_RESET_REQUEST          = 0
-)
-(
-    // --------------------------------------
-    // We support up to 16 reset inputs, for now
-    // --------------------------------------
-    input reset_in0,
-    input reset_in1,
-    input reset_in2,
-    input reset_in3,
-    input reset_in4,
-    input reset_in5,
-    input reset_in6,
-    input reset_in7,
-    input reset_in8,
-    input reset_in9,
-    input reset_in10,
-    input reset_in11,
-    input reset_in12,
-    input reset_in13,
-    input reset_in14,
-    input reset_in15,
-    input reset_req_in0,
-    input reset_req_in1,
-    input reset_req_in2,
-    input reset_req_in3,
-    input reset_req_in4,
-    input reset_req_in5,
-    input reset_req_in6,
-    input reset_req_in7,
-    input reset_req_in8,
-    input reset_req_in9,
-    input reset_req_in10,
-    input reset_req_in11,
-    input reset_req_in12,
-    input reset_req_in13,
-    input reset_req_in14,
-    input reset_req_in15,
-
-
-    input  clk,
-    output reg reset_out,
-    output reg reset_req
-);
-
-   // Always use async reset synchronizer if reset_req is used
-   localparam ASYNC_RESET = (OUTPUT_RESET_SYNC_EDGES == "deassert");
-
-   // --------------------------------------
-   // Local parameter to control the reset_req and reset_out timing when RESET_REQUEST_PRESENT==1
-   // --------------------------------------
-   localparam MIN_METASTABLE = 3;
-   localparam RSTREQ_ASRT_SYNC_TAP = MIN_METASTABLE + RESET_REQ_WAIT_TIME;
-
-   localparam LARGER = RESET_REQ_WAIT_TIME > RESET_REQ_EARLY_DSRT_TIME ? RESET_REQ_WAIT_TIME : RESET_REQ_EARLY_DSRT_TIME;
-
-   localparam ASSERTION_CHAIN_LENGTH =  (MIN_METASTABLE > LARGER) ? 
-                                            MIN_RST_ASSERTION_TIME + 1 :
-                                        (
-                                        (MIN_RST_ASSERTION_TIME > LARGER)? 
-                                            MIN_RST_ASSERTION_TIME + (LARGER - MIN_METASTABLE + 1) + 1 :
-                                            MIN_RST_ASSERTION_TIME + RESET_REQ_EARLY_DSRT_TIME + RESET_REQ_WAIT_TIME - MIN_METASTABLE + 2
-                                        );
-
-   localparam RESET_REQ_DRST_TAP = RESET_REQ_EARLY_DSRT_TIME + 1;
-   // --------------------------------------
-
-   wire merged_reset;
-   wire merged_reset_req_in;
-   wire reset_out_pre;
-   wire reset_req_pre;
-
-   // Registers and Interconnect
-   (*preserve*) reg  [RSTREQ_ASRT_SYNC_TAP: 0]  altera_reset_synchronizer_int_chain;
-   reg [ASSERTION_CHAIN_LENGTH-1: 0]            r_sync_rst_chain;
-   reg                                          r_sync_rst;
-   reg                                          r_early_rst;
-
-    // --------------------------------------
-    // "Or" all the input resets together
-    // --------------------------------------
-    assign merged_reset = (  
-                              reset_in0 | 
-                              reset_in1 | 
-                              reset_in2 | 
-                              reset_in3 | 
-                              reset_in4 | 
-                              reset_in5 | 
-                              reset_in6 | 
-                              reset_in7 | 
-                              reset_in8 | 
-                              reset_in9 | 
-                              reset_in10 | 
-                              reset_in11 | 
-                              reset_in12 | 
-                              reset_in13 | 
-                              reset_in14 | 
-                              reset_in15
-                          );
-
-    assign merged_reset_req_in = (
-                              ( (USE_RESET_REQUEST_IN0 == 1) ? reset_req_in0 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN1 == 1) ? reset_req_in1 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN2 == 1) ? reset_req_in2 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN3 == 1) ? reset_req_in3 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN4 == 1) ? reset_req_in4 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN5 == 1) ? reset_req_in5 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN6 == 1) ? reset_req_in6 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN7 == 1) ? reset_req_in7 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN8 == 1) ? reset_req_in8 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN9 == 1) ? reset_req_in9 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN10 == 1) ? reset_req_in10 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN11 == 1) ? reset_req_in11 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN12 == 1) ? reset_req_in12 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN13 == 1) ? reset_req_in13 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN14 == 1) ? reset_req_in14 : 1'b0)  |
-                              ( (USE_RESET_REQUEST_IN15 == 1) ? reset_req_in15 : 1'b0) 
-                            );
-
-
-    // --------------------------------------
-    // And if required, synchronize it to the required clock domain,
-    // with the correct synchronization type
-    // --------------------------------------
-    generate if (OUTPUT_RESET_SYNC_EDGES == "none" && (RESET_REQUEST_PRESENT==0)) begin
-
-        assign reset_out_pre = merged_reset;
-        assign reset_req_pre = merged_reset_req_in;
-
-    end else begin
-
-        altera_reset_synchronizer
-        #(
-            .DEPTH      (SYNC_DEPTH),
-            .ASYNC_RESET(RESET_REQUEST_PRESENT? 1'b1 : ASYNC_RESET)
-        )
-        alt_rst_sync_uq1
-        (
-            .clk        (clk),
-            .reset_in   (merged_reset),
-            .reset_out  (reset_out_pre)
-        );
-
-        altera_reset_synchronizer
-        #(
-            .DEPTH      (SYNC_DEPTH),
-            .ASYNC_RESET(0)
-        )
-        alt_rst_req_sync_uq1
-        (
-            .clk        (clk),
-            .reset_in   (merged_reset_req_in),
-            .reset_out  (reset_req_pre)
-        );
-
-    end
-    endgenerate
-
-    generate if ( ( (RESET_REQUEST_PRESENT == 0) && (ADAPT_RESET_REQUEST==0) )|
-                  ( (ADAPT_RESET_REQUEST == 1) && (OUTPUT_RESET_SYNC_EDGES != "deassert") ) ) begin
-        always @* begin
-            reset_out = reset_out_pre;
-            reset_req = reset_req_pre;
-        end
-    end else if ( (RESET_REQUEST_PRESENT == 0) && (ADAPT_RESET_REQUEST==1) ) begin
-
-        wire reset_out_pre2;
-
-        altera_reset_synchronizer
-        #(
-            .DEPTH      (SYNC_DEPTH+1),
-            .ASYNC_RESET(0)
-        )
-        alt_rst_sync_uq2
-        (
-            .clk        (clk),
-            .reset_in   (reset_out_pre),
-            .reset_out  (reset_out_pre2)
-        );
-
-        always @* begin
-            reset_out = reset_out_pre2;
-            reset_req = reset_req_pre;
-        end
-
-    end
-    else begin
-
-    // 3-FF Metastability Synchronizer
-    initial
-    begin
-        altera_reset_synchronizer_int_chain <= {RSTREQ_ASRT_SYNC_TAP{1'b1}};
-    end
-
-    always @(posedge clk)
-    begin
-        altera_reset_synchronizer_int_chain[RSTREQ_ASRT_SYNC_TAP:0] <= 
-            {altera_reset_synchronizer_int_chain[RSTREQ_ASRT_SYNC_TAP-1:0], reset_out_pre}; 
-    end
-
-    // Synchronous reset pipe
-    initial
-    begin
-        r_sync_rst_chain <= {ASSERTION_CHAIN_LENGTH{1'b1}};
-    end
-
-    always @(posedge clk)
-    begin
-        if (altera_reset_synchronizer_int_chain[MIN_METASTABLE-1] == 1'b1)
-        begin
-            r_sync_rst_chain <= {ASSERTION_CHAIN_LENGTH{1'b1}};
-    end
-    else
-    begin
-        r_sync_rst_chain <= {1'b0, r_sync_rst_chain[ASSERTION_CHAIN_LENGTH-1:1]};
-    end
-    end
-
-    // Standard synchronous reset output.  From 0-1, the transition lags the early output.  For 1->0, the transition
-    // matches the early input.
-
-    always @(posedge clk)
-    begin
-        case ({altera_reset_synchronizer_int_chain[RSTREQ_ASRT_SYNC_TAP], r_sync_rst_chain[1], r_sync_rst})
-            3'b000:   r_sync_rst <= 1'b0; // Not reset
-            3'b001:   r_sync_rst <= 1'b0;
-            3'b010:   r_sync_rst <= 1'b0;
-            3'b011:   r_sync_rst <= 1'b1;
-            3'b100:   r_sync_rst <= 1'b1; 
-            3'b101:   r_sync_rst <= 1'b1;
-            3'b110:   r_sync_rst <= 1'b1;
-            3'b111:   r_sync_rst <= 1'b1; // In Reset
-            default:  r_sync_rst <= 1'b1;
-        endcase
-
-        case ({r_sync_rst_chain[1], r_sync_rst_chain[RESET_REQ_DRST_TAP] | reset_req_pre})
-            2'b00:   r_early_rst <= 1'b0; // Not reset
-            2'b01:   r_early_rst <= 1'b1; // Coming out of reset
-            2'b10:   r_early_rst <= 1'b0; // Spurious reset - should not be possible via synchronous design.
-            2'b11:   r_early_rst <= 1'b1; // Held in reset
-            default: r_early_rst <= 1'b1;
-        endcase
-    end
-
-    always @* begin
-        reset_out = r_sync_rst;
-        reset_req = r_early_rst;
-    end
-
-    end
-    endgenerate
-
-endmodule
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer.sv
deleted file mode 100644
index 4f89bba62734fac124efccca1b5eae0cb52d5c37..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer.sv
+++ /dev/null
@@ -1,624 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// -------------------------------------------------------
-// Reset Sequencer Top
-// - Contains block that controls the deassertion sequencer and assertion sequencer based on input resets
-// - FSM is to control sequencing when multiple reset assertion (when in middle of asrt/dsrt sequence)
-//      - in case of multiple assertion while in sequencing, it will only be evaluated at end of sequences 
-//        (either assertion/deassertion)
-// Parameter details : as per specified below.
-// Sequencer flow:
-//  1. Input resets are OR'ed and synchronized using the standard reset controller.
-//  2. This synchronized reset is then fed into the sequencer_main FSM.
-//  3. The sequencer_main will sequenced between assertion and deassertion sequence. 
-//     [And deciding if there are new pending reset, and what to do with it]
-//  4. If reset assertion is detected, the main FSM will kick off the assertion sequencer.
-//  5. Assertion sequencer does the following:
-//     - Depending on the ASRT_DELAYN set for the reset_outN, it will wait for that amount of clock, before asserting the reset_outN.
-//     - This repeats until the last reset (reset_out{NUM_OUTPUTS}} is asserted.
-//     - Then, it will return a done to the main FSM.
-//  6. Upon receiving the done, the main FSM will then move on to the next state, deasertion, 
-//     where it kicks of now the de-assertion sequencer. 
-//     If MIN_ASRT_TIME is set to non-zero value, it will now wait until that amount of time before starting the de-assertion sequencer.
-//  7. The de-assertion sequencer is the same block as the assertion sequencer, with exception that:
-//     - It has option to depend on an extra input qualification for reset deassertion (apart from waiting the DSRT_DELAYN amount of clocks)
-//     - NOTE: Deassertion sequence will always complete. Hence, if a new reset occurs during this time, 
-//             the whole deassertion sequencer will still be completed before being retriggered.
-//     - This is enabled in UI through the "USE_DSRT_QUAL[N]" in the UI.
-//       (In HDL, it is translated to the per-bit settings on the ENABLE_DEASSERTION_INPUT_QUAL)
-//  8. Again, the sequencer will walk through all the required bits until the last reset_out{NUM_OUTPUTS} is deasserted.
-//  9. When a done is received by the main FSM from the deassertion sequencer, it will move back to IDLE. (DONE)
-// -------------------------------------------------------
-
-`timescale 1 ns / 1 ns
-
-module altera_reset_sequencer
-#(
-    // --------------------------------
-    // Number of output ports to sequence
-    // --------------------------------
-    parameter NUM_OUTPUTS = 'd3,
-
-    // -----------------------------
-    // Number of input reset in port is not handled
-    // in HDL, input port should be terminated hw_tcl
-
-    // --------------------------------
-    // Basic sequence enables
-    // --------------------------------
-    parameter ENABLE_ASSERTION_SEQUENCE = 'd0,
-    parameter ENABLE_DEASSERTION_SEQUENCE = 'd0,
-
-    // This is a bit-wise enable for deassertion input signal
-    // If set to 1, deassertion sequence will wait for input signal qual, and ignore the
-    // delay count being set.
-    // Set by hw.tcl based on the SELECT in UI
-    parameter ENABLE_DEASSERTION_INPUT_QUAL = 'h0,
-
-    // ------------------------------
-    // Minimum reset assertion count
-    // --------------------------------
-    parameter MIN_ASRT_TIME = 'd5,
-
-    // -------------------------------
-    // Deassertion input qualification deglitch count
-    // -------------------------------
-    parameter DSRT_QUALCNT_0 = 'd2,
-    parameter DSRT_QUALCNT_1 = 'd3,
-    parameter DSRT_QUALCNT_2 = 'd4,
-    parameter DSRT_QUALCNT_3 = 'd5,
-    parameter DSRT_QUALCNT_4 = 'd6,
-    parameter DSRT_QUALCNT_5 = 'd7,
-    parameter DSRT_QUALCNT_6 = 'd8,
-    parameter DSRT_QUALCNT_7 = 'd9,
-    parameter DSRT_QUALCNT_8 = 'd10,
-    parameter DSRT_QUALCNT_9 = 'd11,
-
-    // ----------------------------------
-    // Sequence delay count
-    // ----------------------------------
-    parameter ASRT_DELAY0 = 'd1,
-    parameter ASRT_DELAY1 = 'd2,
-    parameter ASRT_DELAY2 = 'd3,
-    parameter ASRT_DELAY3 = 'd4,
-    parameter ASRT_DELAY4 = 'd5,
-    parameter ASRT_DELAY5 = 'd6,
-    parameter ASRT_DELAY6 = 'd7,
-    parameter ASRT_DELAY7 = 'd8,
-    parameter ASRT_DELAY8 = 'd9,
-    parameter ASRT_DELAY9 = 'd10,
-    parameter DSRT_DELAY0 = 'd1,
-    parameter DSRT_DELAY1 = 'd2,
-    parameter DSRT_DELAY2 = 'd3,
-    parameter DSRT_DELAY3 = 'd4,
-    parameter DSRT_DELAY4 = 'd5,
-    parameter DSRT_DELAY5 = 'd6,
-    parameter DSRT_DELAY6 = 'd7,
-    parameter DSRT_DELAY7 = 'd8,
-    parameter DSRT_DELAY8 = 'd9,
-    parameter DSRT_DELAY9 = 'd10,
-
-    // ---------------------------------
-    // Sequence remapping
-    // Default is from 0-1-2-3-...9
-    // - Can be defined to be 3-2-1-5-...
-    // ---------------------------------
-    parameter ASRT_REMAP0 = 'd0,
-    parameter ASRT_REMAP1 = 'd1,
-    parameter ASRT_REMAP2 = 'd2,
-    parameter ASRT_REMAP3 = 'd3,
-    parameter ASRT_REMAP4 = 'd4,
-    parameter ASRT_REMAP5 = 'd5,
-    parameter ASRT_REMAP6 = 'd6,
-    parameter ASRT_REMAP7 = 'd7,
-    parameter ASRT_REMAP8 = 'd8,
-    parameter ASRT_REMAP9 = 'd9,
-
-    parameter DSRT_REMAP0 = 'd0,
-    parameter DSRT_REMAP1 = 'd1,
-    parameter DSRT_REMAP2 = 'd2,
-    parameter DSRT_REMAP3 = 'd3,
-    parameter DSRT_REMAP4 = 'd4,
-    parameter DSRT_REMAP5 = 'd5,
-    parameter DSRT_REMAP6 = 'd6,
-    parameter DSRT_REMAP7 = 'd7,
-    parameter DSRT_REMAP8 = 'd8,
-    parameter DSRT_REMAP9 = 'd9,   
-
-    // -------------------------
-    // CSR interface settings
-    // -------------------------
-    parameter ENABLE_CSR                = 'd1,
-    parameter REGISTER_CSR_INTERFACE    = 'd1,
-    parameter AV_DATA_W = 'd32, // Not exposed to be modified
-    parameter AV_ADDR_W = 'd8   // Not exposed to be modified
-
-)(
-    // ------------------------------
-    // Clock and reset
-    // This reset is used to reset the logic in the sequencer
-    // ------------------------------
-    input clk,
-    input csr_reset,
-
-    // -----------------
-    // IRQ output
-    // -----------------
-    output logic            irq,
-
-    //----------------------------
-    // Avalon CSR Interface
-    //----------------------------
-    input  [AV_ADDR_W-1:0]       av_address,
-    input                        av_write,
-    input                        av_read,
-    input  [AV_DATA_W-1:0]       av_writedata,
-    output logic [AV_DATA_W-1:0] av_readdata,
-
-    // ------------------------------
-    // Input reset to be use for sequencing
-    // ------------------------------
-    input  reset_in0,
-    input  reset_in1,
-    input  reset_in2,
-    input  reset_in3,
-    input  reset_in4,
-    input  reset_in5,
-    input  reset_in6,
-    input  reset_in7,
-    input  reset_in8,
-    input  reset_in9,
-
-    input  reset_req_in0,
-    input  reset_req_in1,
-    input  reset_req_in2,
-    input  reset_req_in3,
-    input  reset_req_in4,
-    input  reset_req_in5,
-    input  reset_req_in6,
-    input  reset_req_in7,
-    input  reset_req_in8,
-    input  reset_req_in9,
-
-    // ------------------------------
-    // Input qualification use for deassertion sequencing
-    // ------------------------------
-    input   reset0_dsrt_qual,
-    input   reset1_dsrt_qual,
-    input   reset2_dsrt_qual,
-    input   reset3_dsrt_qual,
-    input   reset4_dsrt_qual,
-    input   reset5_dsrt_qual,
-    input   reset6_dsrt_qual,
-    input   reset7_dsrt_qual,
-    input   reset8_dsrt_qual,
-    input   reset9_dsrt_qual,
-
-    // ------------------------------
-    // Output reset and reset_req
-    // ------------------------------
-    output  reg reset_out0,
-    output  reg reset_out1,
-    output  reg reset_out2,
-    output  reg reset_out3,
-    output  reg reset_out4,
-    output  reg reset_out5,
-    output  reg reset_out6,
-    output  reg reset_out7,
-    output  reg reset_out8,
-    output  reg reset_out9,
-    output  reg reset_req_out0,
-    output  reg reset_req_out1,
-    output  reg reset_req_out2,
-    output  reg reset_req_out3,
-    output  reg reset_req_out4,
-    output  reg reset_req_out5,
-    output  reg reset_req_out6,
-    output  reg reset_req_out7,
-    output  reg reset_req_out8,
-    output  reg reset_req_out9
-);
-
-
- localparam MAX_OUTPUT = 10;
-
- // --------------------------------------
- // Internal logic/wire declarations
- // --------------------------------------
- logic asrt_seq_en, asrt_seq_done;
- logic dsrt_seq_en, dsrt_seq_done, dsrt_seq_done_q;
- logic [MAX_OUTPUT-1:0]    asrt_track, asrt_track_map;
- logic [MAX_OUTPUT-1:0]    dsrt_track, dsrt_track_map;
- logic [MAX_OUTPUT-1:0]    dsrt_in_qual, dsrt_in_qual_map;
- logic [MAX_OUTPUT-1:0]    reset_out, reset_req_out, reset_dsrt_qual;
- logic [MAX_OUTPUT-1:0]    reset_in_vec, reset_in_dsync;
- logic                     reset_in;
- logic [MAX_OUTPUT-1:0]    reset_log_pending, reset_log_update;
- logic [MAX_OUTPUT-1:0]    reset_in_dsync_q;
-
- // CSRs
- logic                    raw_csr_sw_rst, csr_sw_rst;
- logic [MAX_OUTPUT-1:0]   raw_csr_sw_aseq_bit_en, csr_sw_aseq_bit_en;   
- logic [MAX_OUTPUT-1:0]   raw_csr_sw_dseq_bit_en, csr_sw_dseq_bit_en;   
- logic [MAX_OUTPUT-1:0]   raw_csr_rst_ovr_en, csr_rst_ovr_en;  
- logic [MAX_OUTPUT-1:0]   raw_csr_rst_ovr, csr_rst_ovr;
- logic [MAX_OUTPUT-1:0]   raw_csr_rst_msk, csr_rst_msk;
- logic                    csr_set_dsrt_wait_sw;
- logic                    csr_set_asrt_wait_sw;
- logic                    raw_csr_asrt_seq_next, csr_asrt_seq_next;
- logic                    raw_csr_dsrt_seq_next, csr_dsrt_seq_next;
- logic                    csr_reset_sync, csr_reset_sync_req;
-
- // ------------------------------
- // Input reset synchronization
- //     - For synchronization, reuse reset controller
- //     - for detection, treat all input resets as asynchronous and internally double sync it
- // ------------------------------
- altera_reset_controller #(
-    .NUM_RESET_INPUTS          (MAX_OUTPUT),
-    .OUTPUT_RESET_SYNC_EDGES   ("both"),
-    .RESET_REQUEST_PRESENT (0),
-    .SYNC_DEPTH                (3)
- ) reset_in_sync (
-    .reset_in0 (reset_in0), .reset_req_in0 (1'b0),
-    .reset_in1 (reset_in1), .reset_req_in1 (1'b0),
-    .reset_in2 (reset_in2), .reset_req_in2 (1'b0),
-    .reset_in3 (reset_in3), .reset_req_in3 (1'b0),
-    .reset_in4 (reset_in4), .reset_req_in4 (1'b0),
-    .reset_in5 (reset_in5), .reset_req_in5 (1'b0),
-    .reset_in6 (reset_in6), .reset_req_in6 (1'b0),
-    .reset_in7 (reset_in7), .reset_req_in7 (1'b0),
-    .reset_in8 (reset_in8), .reset_req_in8 (1'b0),
-    .reset_in9 (reset_in9), .reset_req_in9 (1'b0),
-    .reset_in10 (1'b0),     .reset_req_in10 (1'b0),
-    .reset_in11 (1'b0),     .reset_req_in11 (1'b0),
-    .reset_in12 (1'b0),     .reset_req_in12 (1'b0),
-    .reset_in13 (1'b0),     .reset_req_in13 (1'b0),
-    .reset_in14 (1'b0),     .reset_req_in14 (1'b0),
-    .reset_in15 (1'b0),     .reset_req_in15 (1'b0),
-    .clk (clk),
-    .reset_out(reset_in),
-    .reset_req()
- );
-
-generate if (ENABLE_CSR == 1) begin : gen_csr_reset
-
- altera_reset_controller #(
-    .NUM_RESET_INPUTS          (1),
-    .OUTPUT_RESET_SYNC_EDGES   ("both"),
-    .RESET_REQUEST_PRESENT     (1),
-    .SYNC_DEPTH                (3)
- ) reset_csr_sync (
-    .reset_in0 (csr_reset), .reset_req_in0 (1'b0), 
-    .reset_in1 (1'b0),      .reset_req_in1 (1'b0),
-    .reset_in2 (1'b0),      .reset_req_in2 (1'b0),
-    .reset_in3 (1'b0),      .reset_req_in3 (1'b0),
-    .reset_in4 (1'b0),      .reset_req_in4 (1'b0),
-    .reset_in5 (1'b0),      .reset_req_in5 (1'b0), 
-    .reset_in6 (1'b0),      .reset_req_in6 (1'b0),
-    .reset_in7 (1'b0),      .reset_req_in7 (1'b0),
-    .reset_in8 (1'b0),      .reset_req_in8 (1'b0),
-    .reset_in9 (1'b0),      .reset_req_in9 (1'b0),
-    .reset_in10 (1'b0),     .reset_req_in10 (1'b0),
-    .reset_in11 (1'b0),     .reset_req_in11 (1'b0),
-    .reset_in12 (1'b0),     .reset_req_in12 (1'b0),
-    .reset_in13 (1'b0),     .reset_req_in13 (1'b0),
-    .reset_in14 (1'b0),     .reset_req_in14 (1'b0),
-    .reset_in15 (1'b0),     .reset_req_in15 (1'b0),
-    .clk (clk),
-    .reset_out(csr_reset_sync),
-    .reset_req(csr_reset_sync_req)
- );
- end else begin
-    assign csr_reset_sync       = 1'b0;
-    assign csr_reset_sync_req   = 1'b0;
- end
-endgenerate
-
- // ----------------------------------------
- // Reset synchronization for CSR reset logging purpose
- // ----------------------------------------
- assign reset_in_vec = { reset_in9, reset_in8, reset_in7, reset_in6, reset_in5,
-                         reset_in4, reset_in3, reset_in2, reset_in1, reset_in0 };
-
- genvar i;
- generate 
- for (i=0 ; i<MAX_OUTPUT ; i++) begin : dsync
-    altera_reset_synchronizer #(
-        .ASYNC_RESET (0),
-        .DEPTH       (3)
-    ) reset_dsync (
-        .reset_in   (reset_in_vec[i]),
-        .clk        (clk),
-        .reset_out  (reset_in_dsync[i])
-    );
- end
- endgenerate
-
- // ------------------------------
- // Input logic qualifications
- // ------------------------------
- assign reset_dsrt_qual = { reset9_dsrt_qual, reset8_dsrt_qual, reset7_dsrt_qual, reset6_dsrt_qual,
-                            reset5_dsrt_qual, reset4_dsrt_qual, reset3_dsrt_qual, reset2_dsrt_qual,
-                            reset1_dsrt_qual, reset0_dsrt_qual };
-
- altera_reset_sequencer_deglitch_main #(
-    .ENABLE         (ENABLE_DEASSERTION_INPUT_QUAL),
-    .DEGLITCH_CNT0  (DSRT_QUALCNT_0),
-    .DEGLITCH_CNT1  (DSRT_QUALCNT_1),
-    .DEGLITCH_CNT2  (DSRT_QUALCNT_2),
-    .DEGLITCH_CNT3  (DSRT_QUALCNT_3),
-    .DEGLITCH_CNT4  (DSRT_QUALCNT_4),
-    .DEGLITCH_CNT5  (DSRT_QUALCNT_5),
-    .DEGLITCH_CNT6  (DSRT_QUALCNT_6),
-    .DEGLITCH_CNT7  (DSRT_QUALCNT_7),
-    .DEGLITCH_CNT8  (DSRT_QUALCNT_8),
-    .DEGLITCH_CNT9  (DSRT_QUALCNT_9)
-  ) dsrt_deg (
-    .clk            (clk),
-    .reset          (1'b0),//reset),
-    .deg_clr        (~dsrt_seq_en), // Reset the deglitch counters when not in used
-                                    // It is only evaluated on deassertion sequence
-                                    // This however, means a "1" can be possible detected during resets.
-    .sig_in         ((ENABLE_DEASSERTION_SEQUENCE ==1) ? reset_dsrt_qual: {MAX_OUTPUT{1'b0}}),
-    .sig_out        (dsrt_in_qual)
-  );
-
-  assign dsrt_in_qual_map[DSRT_REMAP0] = dsrt_in_qual[0];
-  assign dsrt_in_qual_map[DSRT_REMAP1] = dsrt_in_qual[1];
-  assign dsrt_in_qual_map[DSRT_REMAP2] = dsrt_in_qual[2];
-  assign dsrt_in_qual_map[DSRT_REMAP3] = dsrt_in_qual[3];
-  assign dsrt_in_qual_map[DSRT_REMAP4] = dsrt_in_qual[4];
-  assign dsrt_in_qual_map[DSRT_REMAP5] = dsrt_in_qual[5];
-  assign dsrt_in_qual_map[DSRT_REMAP6] = dsrt_in_qual[6];
-  assign dsrt_in_qual_map[DSRT_REMAP7] = dsrt_in_qual[7];
-  assign dsrt_in_qual_map[DSRT_REMAP8] = dsrt_in_qual[8];
-  assign dsrt_in_qual_map[DSRT_REMAP9] = dsrt_in_qual[9];
-
- // -------------- //
- // Main Sequencer //
- // -------------- //
- altera_reset_sequencer_main #( 
-    .MIN_ASRT_TIME (MIN_ASRT_TIME)
- ) main (
-    .clk            (clk),
-    .reset          (1'b0),//reset),
-    .reset_in       (reset_in),
-    .reset_sw_in    (csr_sw_rst), 
-    .asrt_seq_done  (asrt_seq_done),
-    .asrt_seq_en    (asrt_seq_en),
-    .dsrt_seq_done  (dsrt_seq_done),
-    .dsrt_seq_en    (dsrt_seq_en)
- );
-
- // ------------------- //
- // Assertion Sequencer //
- // ------------------- //
- altera_reset_sequencer_seq #(
-    .NUM_OUTPUTS (NUM_OUTPUTS),
-    .SEQUENCER_EN(ENABLE_ASSERTION_SEQUENCE),
-    .USE_QUAL    (0),
-    .DELAY0      (ASRT_DELAY0),
-    .DELAY1      (ASRT_DELAY1),
-    .DELAY2      (ASRT_DELAY2),
-    .DELAY3      (ASRT_DELAY3),
-    .DELAY4      (ASRT_DELAY4),
-    .DELAY5      (ASRT_DELAY5),
-    .DELAY6      (ASRT_DELAY6),
-    .DELAY7      (ASRT_DELAY7),
-    .DELAY8      (ASRT_DELAY8),
-    .DELAY9      (ASRT_DELAY9)
- ) asrt_seq (
-    .clk            (clk),
-    .reset          (1'b0),//reset),
-    .csr_seq_ovr    (csr_sw_aseq_bit_en),
-    .csr_seq_next   (csr_asrt_seq_next),
-    .csr_wait_sw    (csr_set_asrt_wait_sw),
-    .in_qual        ({MAX_OUTPUT{1'b0}}), // asrt_in_qual not supported for now
-    .enable         (asrt_seq_en),
-    .done           (asrt_seq_done),
-    .track_out      (asrt_track)
- );
-
- // ---------------------- //
- // De-assertion Sequencer //
- // ---------------------- //
- altera_reset_sequencer_seq #(
-    .NUM_OUTPUTS (NUM_OUTPUTS),
-    .USE_QUAL    (ENABLE_DEASSERTION_INPUT_QUAL),
-    .SEQUENCER_EN(ENABLE_DEASSERTION_SEQUENCE),
-    .DELAY0      (DSRT_DELAY0),
-    .DELAY1      (DSRT_DELAY1),
-    .DELAY2      (DSRT_DELAY2),
-    .DELAY3      (DSRT_DELAY3),
-    .DELAY4      (DSRT_DELAY4),
-    .DELAY5      (DSRT_DELAY5),
-    .DELAY6      (DSRT_DELAY6),
-    .DELAY7      (DSRT_DELAY7),
-    .DELAY8      (DSRT_DELAY8),
-    .DELAY9      (DSRT_DELAY9)
- ) dsrt_seq (
-    .clk            (clk),
-    .reset          (1'b0),
-    .csr_seq_ovr    (csr_sw_dseq_bit_en),
-    .csr_seq_next   (csr_dsrt_seq_next),
-    .csr_wait_sw    (csr_set_dsrt_wait_sw),
-    .in_qual        (dsrt_in_qual_map), // use remapped version
-    .enable         (dsrt_seq_en),
-    .done           (dsrt_seq_done),
-    .track_out      (dsrt_track)
- );
-
- // ---------------------- //
- // Reset request controls //
- // ---------------------- //
- // Pass through reset request signals
- // Termination of unused port is done in hw.tcl
- assign reset_req_out = {{MAX_OUTPUT{reset_req_in9 | reset_req_in8 | reset_req_in7 | reset_req_in6 | reset_req_in5 | reset_req_in4 | reset_req_in3 | reset_req_in2 | reset_req_in1 | reset_req_in0}}};
-
- generate if (ENABLE_CSR == 1) begin : gen_csr
-    altera_reset_sequencer_av_csr #(
-        .REGISTER_CSR_INTERFACE  (REGISTER_CSR_INTERFACE)
-    ) csr (
-        .clk            (clk),
-        .reset          (csr_reset_sync),
-        .irq            (irq),
-        .av_address     (av_address),
-        .av_write       (av_write),
-        .av_read        (av_read),
-        .av_writedata   (av_writedata),
-        .av_readdata    (av_readdata),
-        // CSR register outputs
-        .csr_sw_rst             (raw_csr_sw_rst),
-        .csr_sw_aseq_bit_en_out (raw_csr_sw_aseq_bit_en),
-        .csr_sw_aseq_seq_next   (raw_csr_asrt_seq_next),
-        .csr_sw_dseq_bit_en_out (raw_csr_sw_dseq_bit_en),
-        .csr_sw_dseq_seq_next   (raw_csr_dsrt_seq_next),
-        .csr_rst_ovr            (raw_csr_rst_ovr),
-        .csr_rst_ovr_en         (raw_csr_rst_ovr_en),
-        .csr_rst_msk            (raw_csr_rst_msk),  
-        // CSR Status inputs
-        .csr_sts_reset_act      (~dsrt_seq_done & (asrt_seq_en | dsrt_seq_en)),
-        .csr_sts_asrt_act       (asrt_seq_en),
-        .csr_set_reset_in_sts   (reset_log_update),
-        .csr_set_in_dsrt_qual   (dsrt_in_qual), // csr mapping is 1-1
-        .csr_set_dsrt_wait_sw   (csr_set_dsrt_wait_sw),
-        .csr_set_asrt_wait_sw   (csr_set_asrt_wait_sw)
-    );
-        assign csr_sw_rst           = ~csr_reset_sync_req & raw_csr_sw_rst;
-        assign csr_sw_aseq_bit_en   = ~csr_reset_sync_req & raw_csr_sw_aseq_bit_en;
-        assign csr_asrt_seq_next    = ~csr_reset_sync_req & raw_csr_asrt_seq_next;
-        assign csr_sw_dseq_bit_en   = ~csr_reset_sync_req & raw_csr_sw_dseq_bit_en;
-        assign csr_dsrt_seq_next    = ~csr_reset_sync_req & raw_csr_dsrt_seq_next;
-        assign csr_rst_ovr          = ~csr_reset_sync_req & raw_csr_rst_ovr;
-        assign csr_rst_ovr_en       = ~csr_reset_sync_req & raw_csr_rst_ovr_en;
-        assign csr_rst_msk          = ~csr_reset_sync_req & raw_csr_rst_msk;
-
- // ----------------------------------
- // Reset logging logic
- // - log goes to status only on DSRT seq done assertion
- // - reset is logged only when reset sequence is completed. (and IRQ generation)
- // - reset that is asserted during assertion phase, will be all considered 'serviced' already
- // - reset that is asserted during de-assertion phase, will be pending, and set only on next completion.
- //   In this case, the SW will get IRQ for resets triggered during assertion phase, but will see that possibly
- //   ResetActive is set during this time. This implies that multiple reset has occured.
- // ----------------------------------
- always @(posedge clk or posedge csr_reset_sync) begin
-    if (csr_reset_sync) begin
-        reset_log_pending        <= '0;
-        reset_log_update         <= '0;
-        reset_in_dsync_q         <= '0;
-        dsrt_seq_done_q            <= '0;
-    end
-    else if (csr_reset_sync_req) begin
-        reset_log_pending        <= '0;
-        reset_log_update         <= '0;
-        reset_in_dsync_q         <= '0;
-        dsrt_seq_done_q            <= '0;
-    end
-    else begin
-        reset_log_pending <= (dsrt_seq_done & ~dsrt_seq_done_q) ? 
-                                (reset_in_dsync & ~reset_in_dsync_q) : // update only on boundary
-                                 reset_log_pending | (reset_in_dsync & ~reset_in_dsync_q); // set and hold
-        reset_log_update  <= (dsrt_seq_done & ~dsrt_seq_done_q) ? reset_log_pending : '0;
-        reset_in_dsync_q  <= reset_in_dsync;
-        dsrt_seq_done_q   <= dsrt_seq_done;
-    end
- 
- end
-
- end else begin
-    // Tie off outputs from av_csr block when CSR is not enabled.
-    assign irq                    = 1'b0;
-    assign av_readdata            = '0;
-    assign csr_sw_rst             = '0;
-    assign csr_sw_aseq_bit_en     = '0;
-    assign csr_sw_dseq_bit_en     = '0;
-    assign csr_rst_ovr            = '0;
-    assign csr_rst_ovr_en         = '0;
-    assign csr_rst_msk            = '0;
-    assign csr_asrt_seq_next      = '0;
-    assign csr_dsrt_seq_next      = '0;
- end
- endgenerate
-
- // ---------------------
- // Output Mappings 
- // ---------------------
- assign reset_out0 = reset_out[0];
- assign reset_out1 = reset_out[1];
- assign reset_out2 = reset_out[2];
- assign reset_out3 = reset_out[3];
- assign reset_out4 = reset_out[4];
- assign reset_out5 = reset_out[5];
- assign reset_out6 = reset_out[6];
- assign reset_out7 = reset_out[7];
- assign reset_out8 = reset_out[8];
- assign reset_out9 = reset_out[9];
-
- assign reset_req_out0 = reset_req_out[0];
- assign reset_req_out1 = reset_req_out[1];
- assign reset_req_out2 = reset_req_out[2];
- assign reset_req_out3 = reset_req_out[3];
- assign reset_req_out4 = reset_req_out[4];
- assign reset_req_out5 = reset_req_out[5];
- assign reset_req_out6 = reset_req_out[6];
- assign reset_req_out7 = reset_req_out[7];
- assign reset_req_out8 = reset_req_out[8];
- assign reset_req_out9 = reset_req_out[9];
-
-
- // ---------------------------
- // Remap if user defined sequence is done
- // ---------------------------
-  assign    asrt_track_map[0]   = asrt_track[ASRT_REMAP0];
-  assign    asrt_track_map[1]   = asrt_track[ASRT_REMAP1];
-  assign    asrt_track_map[2]   = asrt_track[ASRT_REMAP2];
-  assign    asrt_track_map[3]   = asrt_track[ASRT_REMAP3];
-  assign    asrt_track_map[4]   = asrt_track[ASRT_REMAP4];
-  assign    asrt_track_map[5]   = asrt_track[ASRT_REMAP5];
-  assign    asrt_track_map[6]   = asrt_track[ASRT_REMAP6];
-  assign    asrt_track_map[7]   = asrt_track[ASRT_REMAP7];
-  assign    asrt_track_map[8]   = asrt_track[ASRT_REMAP8];
-  assign    asrt_track_map[9]   = asrt_track[ASRT_REMAP9];
- 
-  assign    dsrt_track_map[0]   = dsrt_track[DSRT_REMAP0];
-  assign    dsrt_track_map[1]   = dsrt_track[DSRT_REMAP1];
-  assign    dsrt_track_map[2]   = dsrt_track[DSRT_REMAP2];
-  assign    dsrt_track_map[3]   = dsrt_track[DSRT_REMAP3];
-  assign    dsrt_track_map[4]   = dsrt_track[DSRT_REMAP4];
-  assign    dsrt_track_map[5]   = dsrt_track[DSRT_REMAP5];
-  assign    dsrt_track_map[6]   = dsrt_track[DSRT_REMAP6];
-  assign    dsrt_track_map[7]   = dsrt_track[DSRT_REMAP7];
-  assign    dsrt_track_map[8]   = dsrt_track[DSRT_REMAP8];
-  assign    dsrt_track_map[9]   = dsrt_track[DSRT_REMAP9];
-
-  // -------------------------------------------------
-  // Output reset controls based on sequencer outputs
-  // - set priority if both dsrt/asrt pulse is asserted (although not possible)
-  // -------------------------------------------------
-    initial
-    begin
-        reset_out <= '1;
-    end
-
-  always @(posedge clk) begin
-        reset_out   <=  csr_rst_ovr_en ? 
-                            csr_rst_ovr : 
-                            ( (asrt_track_map & ~csr_rst_msk) & ~dsrt_track_map );
-  end
-
-endmodule
-
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_av_csr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_av_csr.sv
deleted file mode 100644
index 9cfb39403d84eecfa42f59f1d875a75942fde1c4..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_av_csr.sv
+++ /dev/null
@@ -1,391 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// -------------------------------------------------------------------
-// This block contains the CSR of the reset sequencer
-// - Decodes the Avalon interface signal access
-// - Generate different CSR outputs that is used in sequencer
-// -------------------------------------------------------------------
-`timescale 1 ns / 1 ns
-
-module altera_reset_sequencer_av_csr #(
-
-    parameter AV_ADDR_W = 8,
-    parameter AV_DATA_W = 32,
-    parameter REGISTER_CSR_INTERFACE = 1,
-    parameter MAX_OUTPUT = 10
-
-)
-(
-
-    // --------------------
-    // Clock and resets
-    // --------------------
-    input       clk,
-    input       reset,
-
-    // -----------------
-    // IRQ output
-    // -----------------
-    output logic            irq,
-
-    //----------------------------
-    // Avalon CSR Interface
-    //----------------------------
-    input  [AV_ADDR_W-1:0]       av_address,
-    input                        av_write,
-    input                        av_read,
-    input  [AV_DATA_W-1:0]       av_writedata,
-    output logic [AV_DATA_W-1:0] av_readdata,
-
-    // ---------------------------
-    // CSR output controls
-    // ---------------------------
-    output logic                    csr_sw_rst,
-    output logic [MAX_OUTPUT-1:0]   csr_sw_aseq_bit_en_out,
-    output logic                    csr_sw_aseq_seq_next,
-    output logic [MAX_OUTPUT-1:0]   csr_sw_dseq_bit_en_out,
-    output logic                    csr_sw_dseq_seq_next,
-    output logic [MAX_OUTPUT-1:0]   csr_rst_ovr,
-    output logic [MAX_OUTPUT-1:0]   csr_rst_ovr_en,
-    output logic [MAX_OUTPUT-1:0]   csr_rst_msk,
-
-    // ---------------------------
-    // CSR input status
-    // ---------------------------
-    input                   csr_sts_reset_act,
-    input                   csr_sts_asrt_act,
-    input [MAX_OUTPUT-1:0]  csr_set_in_dsrt_qual,
-    input [MAX_OUTPUT-1:0]  csr_set_reset_in_sts,
-    input                   csr_set_asrt_wait_sw,
-    input                   csr_set_dsrt_wait_sw
-);
-
-// ------------------------------------
-// Internal Logic/Wire Declaration
-// ------------------------------------
-logic [31:0]    csr_readdata[7];
-logic csr_hit_sts;
-logic csr_hit_intren;
-logic csr_hit_ctrl;
-logic csr_hit_swaseq;
-logic csr_hit_swdseq;
-logic csr_hit_resetovr;
-logic csr_hit_resetmask;
-
-// Avalon CSR input staging
-logic                   av_write_in;
-logic                   av_read_in;
-logic [AV_ADDR_W-1:0]   av_address_in;
-logic [AV_DATA_W-1:0]   av_writedata_in;
-logic [AV_DATA_W-1:0]   av_readdata_wire, av_readdata_reg;
-
-// CSR registers
-logic                   csr_sts_sw_rst;
-logic                   csr_sts_pow_rst;
-logic                   csr_intr_en_pow_rst;
-logic                   csr_intr_en_sw_rst;
-logic [MAX_OUTPUT-1:0]  csr_intr_en_reset_in;
-logic [MAX_OUTPUT-1:0]  csr_intr_en_rst_dsrt_qual;
-logic                   csr_intr_en_wait_dsrt_sw;
-logic                   csr_intr_en_wait_asrt_sw;
-logic                   irq_pow_rst;
-logic                   irq_sw_rst;
-logic [MAX_OUTPUT-1:0]  irq_reset_in;
-logic [MAX_OUTPUT-1:0]  irq_rst_dsrt_qual;
-logic                   irq_csr_wait_sw;
-logic [MAX_OUTPUT-1:0]  csr_sts_reset_in;
-logic [MAX_OUTPUT-1:0]  csr_sts_in_dsrt_qual;
-logic [MAX_OUTPUT-1:0]  csr_set_in_dsrt_qual_q;
-logic                   csr_sw_aseq_en;
-logic                   csr_sw_dseq_en;
-logic [MAX_OUTPUT-1:0]  csr_sw_aseq_bit_en;
-logic [MAX_OUTPUT-1:0]  csr_sw_dseq_bit_en;
-logic                   csr_sts_pow_rst_nxt;
-logic                   csr_sts_sw_rst_nxt;
-logic [MAX_OUTPUT-1:0]  csr_sts_reset_in_nxt;
-logic [MAX_OUTPUT-1:0]  csr_sts_reset_in_clr;
-logic [MAX_OUTPUT-1:0]  csr_sts_in_dsrt_qual_nxt;
-logic [MAX_OUTPUT-1:0]  csr_sts_in_dsrt_qual_clr;
-logic [MAX_OUTPUT-1:0]  csr_rst_ovr_en_set, csr_rst_ovr_en_clr;
-logic                   csr_sts_dsrt_wait_sw_nxt, csr_sts_dsrt_wait_sw;
-logic                   csr_sts_asrt_wait_sw_nxt, csr_sts_asrt_wait_sw;
-
-// ------------------------
-// Avalon Input Pipeline
-// ------------------------
-    generate
-      if (REGISTER_CSR_INTERFACE == 1) begin
-        always_ff @(posedge clk or posedge reset) begin
-          if (reset) begin
-            av_writedata_in    <= '0;
-            av_address_in      <= '0;
-            av_write_in        <= '0;
-            av_read_in         <= '0;
-          end
-          else begin
-            av_writedata_in    <= av_writedata;
-            av_address_in      <= av_address;
-            av_write_in        <= av_write;
-            av_read_in         <= av_read;
-          end
-        end
-      end
-      else begin
-        always @* begin
-            av_writedata_in    = av_writedata;
-            av_address_in      = av_address;
-            av_write_in        = av_write;
-            av_read_in         = av_read;
-        end
-      end
-    endgenerate
-
-// ------------------------
-// Avalon CSR Logic
-// ------------------------
-always_ff @(posedge clk, posedge reset)
-begin
-    if (reset)
-        av_readdata_reg <= '0;
-    else
-        av_readdata_reg   <= av_readdata_wire;
-end
-
-assign av_readdata_wire = { AV_DATA_W{av_read_in}} & (
-                             (csr_readdata[0] & {AV_DATA_W{csr_hit_sts}}    ) |
-                             (csr_readdata[1] & {AV_DATA_W{csr_hit_intren}} ) |
-                             (csr_readdata[2] & {AV_DATA_W{csr_hit_ctrl}}   ) |
-                             (csr_readdata[3] & {AV_DATA_W{csr_hit_swaseq}} ) |
-                             (csr_readdata[4] & {AV_DATA_W{csr_hit_swdseq}} ) |
-                             (csr_readdata[5] & {AV_DATA_W{csr_hit_resetovr}}   ) |
-                             (csr_readdata[6] & {AV_DATA_W{csr_hit_resetmask}}  )
-                             );
-
-assign av_readdata      = (REGISTER_CSR_INTERFACE == 1) ? av_readdata_reg : av_readdata_wire;
-
-// --------------
-// CSR address decode
-// --------------
-
-always @* begin
-
-    csr_hit_sts         = 1'b0;
-    csr_hit_intren      = 1'b0;
-    csr_hit_ctrl        = 1'b0;
-    csr_hit_swaseq      = 1'b0;
-    csr_hit_swdseq      = 1'b0;
-    csr_hit_resetovr    = 1'b0;
-    csr_hit_resetmask   = 1'b0;
-
-    if (av_address_in[AV_ADDR_W-1:0] == 8'h18)  csr_hit_resetmask   = 1'b1;
-    if (av_address_in[AV_ADDR_W-1:0] == 8'h14)  csr_hit_resetovr    = 1'b1;
-    if (av_address_in[AV_ADDR_W-1:0] == 8'h10)  csr_hit_swdseq      = 1'b1;
-    if (av_address_in[AV_ADDR_W-1:0] == 8'h0C)  csr_hit_swaseq      = 1'b1;
-    if (av_address_in[AV_ADDR_W-1:0] == 8'h08)  csr_hit_ctrl        = 1'b1;
-    if (av_address_in[AV_ADDR_W-1:0] == 8'h04)  csr_hit_intren      = 1'b1;
-    if (av_address_in[AV_ADDR_W-1:0] == 8'h00)  csr_hit_sts         = 1'b1;
-
-end
-
-// ------------------
-// Status register
-// ------------------
-
-// Set by reset. Cleared by SW
-assign csr_sts_pow_rst_nxt  = csr_sts_pow_rst & ~( av_write_in & csr_hit_sts & av_writedata_in[0]);
-
-// Set by csr_sw_rst and reset active (qualify to ensure reset has been activated by the sw_rst)
-assign csr_sts_sw_rst_nxt   = (csr_sw_rst & csr_sts_asrt_act) | 
-                              csr_sts_sw_rst & ~( av_write_in & csr_hit_sts & av_writedata_in[1] );
-
-// Set by HW, cleared by SW
-assign csr_sts_reset_in_nxt = csr_set_reset_in_sts | 
-                              csr_sts_reset_in & ~csr_sts_reset_in_clr;
-assign csr_sts_reset_in_clr = av_write_in & csr_hit_sts ? av_writedata_in[MAX_OUTPUT-1+2:2] : '0;
-
-// Set by HW, cleared by SW, reflecting when a deassertion qual is received. (set on rising edge only)
-assign csr_sts_in_dsrt_qual_nxt  = csr_set_in_dsrt_qual & ~csr_set_in_dsrt_qual_q |
-                                   csr_sts_in_dsrt_qual &  ~csr_sts_in_dsrt_qual_clr;
-assign csr_sts_in_dsrt_qual_clr  = av_write_in & csr_hit_sts ? av_writedata_in[MAX_OUTPUT-1+16:16] : '0;
-
-// Set by HW, cleared by SW
-assign csr_sts_dsrt_wait_sw_nxt  =  csr_set_dsrt_wait_sw |   
-                                    csr_sts_dsrt_wait_sw & ~ ( av_write_in & csr_hit_sts & av_writedata_in[29] );
-assign csr_sts_asrt_wait_sw_nxt  =  csr_set_asrt_wait_sw |
-                                    csr_sts_asrt_wait_sw & ~ ( av_write_in & csr_hit_sts & av_writedata_in[30] );
-
-assign csr_sw_dseq_seq_next      = csr_sts_dsrt_wait_sw & ( av_write_in & csr_hit_sts & av_writedata_in[29] );
-assign csr_sw_aseq_seq_next      = csr_sts_asrt_wait_sw & ( av_write_in & csr_hit_sts & av_writedata_in[30] );
-
-always_ff @(posedge clk, posedge reset)
-begin
-    if (reset) begin
-        csr_sts_pow_rst         <= 1'b1;
-        csr_sts_sw_rst          <= 1'b0;
-        csr_sts_reset_in        <= '0;
-        csr_sts_in_dsrt_qual    <= '0;
-        csr_set_in_dsrt_qual_q  <= '0;
-        csr_sts_dsrt_wait_sw    <= 1'b0;
-        csr_sts_asrt_wait_sw    <= 1'b0;
-        irq                     <= 1'b0;        
-    end
-    else begin
-        csr_sts_pow_rst         <= csr_sts_pow_rst_nxt; 
-        csr_sts_sw_rst          <= csr_sts_sw_rst_nxt; 
-        csr_sts_reset_in        <= csr_sts_reset_in_nxt;
-        csr_sts_in_dsrt_qual    <= csr_sts_in_dsrt_qual_nxt;
-        csr_set_in_dsrt_qual_q  <= csr_set_in_dsrt_qual;
-        csr_sts_dsrt_wait_sw    <= csr_sts_dsrt_wait_sw_nxt;
-        csr_sts_asrt_wait_sw    <= csr_sts_asrt_wait_sw_nxt;
-        irq                     <= irq_pow_rst | irq_csr_wait_sw | irq_sw_rst | (|irq_reset_in[MAX_OUTPUT-1:0]) | (|irq_rst_dsrt_qual[MAX_OUTPUT-1:0]);
-    end
-end
-
-assign csr_readdata[0] = {  csr_sts_reset_act,  csr_sts_asrt_wait_sw, csr_sts_dsrt_wait_sw, {(13-MAX_OUTPUT){1'b0}}, csr_sts_in_dsrt_qual, 
-                            {(14-MAX_OUTPUT){1'b0}} , csr_sts_reset_in, csr_sts_sw_rst, csr_sts_pow_rst };
-
-assign irq_pow_rst          = csr_sts_pow_rst_nxt & csr_intr_en_pow_rst;
-assign irq_sw_rst           = csr_sts_sw_rst_nxt & csr_intr_en_sw_rst;
-assign irq_reset_in         = csr_sts_reset_in_nxt & csr_intr_en_reset_in;
-assign irq_rst_dsrt_qual    = csr_sts_in_dsrt_qual_nxt & csr_intr_en_rst_dsrt_qual;
-assign irq_csr_wait_sw      = (csr_sts_dsrt_wait_sw_nxt & csr_intr_en_wait_dsrt_sw) |
-                              (csr_sts_asrt_wait_sw_nxt & csr_intr_en_wait_asrt_sw);
-
-
-// ------------------
-// Interrup Enable register
-// ------------------
-
-always_ff @(posedge clk, posedge reset)
-begin
-    if (reset) begin    
-        csr_intr_en_pow_rst         <= '0;
-        csr_intr_en_sw_rst          <= '0;
-        csr_intr_en_reset_in        <= '0;
-        csr_intr_en_rst_dsrt_qual   <= '0;
-        csr_intr_en_wait_dsrt_sw    <= '0;  
-        csr_intr_en_wait_asrt_sw    <= '0;
-    end
-    else begin
-        // Basic R/W registers
-        csr_intr_en_pow_rst         <= av_write_in & csr_hit_intren ? av_writedata_in[0]    : csr_intr_en_pow_rst;
-        csr_intr_en_sw_rst          <= av_write_in & csr_hit_intren ? av_writedata_in[1]    : csr_intr_en_sw_rst;
-        csr_intr_en_reset_in        <= av_write_in & csr_hit_intren ? av_writedata_in[11:2] : csr_intr_en_reset_in;
-        csr_intr_en_rst_dsrt_qual   <= av_write_in & csr_hit_intren ? av_writedata_in[25:16]: csr_intr_en_rst_dsrt_qual;
-        csr_intr_en_wait_dsrt_sw    <= av_write_in & csr_hit_intren ? av_writedata_in[29]   : csr_intr_en_wait_dsrt_sw;
-        csr_intr_en_wait_asrt_sw    <= av_write_in & csr_hit_intren ? av_writedata_in[30]   : csr_intr_en_wait_asrt_sw;
-    end
-end
-
-assign csr_readdata[1]  = { 1'b0 , csr_intr_en_wait_asrt_sw, csr_intr_en_wait_dsrt_sw, {(13-MAX_OUTPUT){1'b0}} , csr_intr_en_rst_dsrt_qual, 
-                            {(14-MAX_OUTPUT){1'b0}}, csr_intr_en_reset_in, csr_intr_en_sw_rst , csr_intr_en_pow_rst };
-// ------------------
-// Control register
-// ------------------
-
-always_ff @(posedge clk, posedge reset)
-begin
-    if (reset) begin
-        csr_sw_aseq_en      <= 1'b0;
-        csr_sw_dseq_en      <= 1'b0;
-        csr_sw_rst          <= 1'b0;
-    end
-    else begin
-        // Basic R/W registers
-        csr_sw_aseq_en      <= av_write_in & csr_hit_ctrl ? av_writedata_in[2] : csr_sw_aseq_en;
-        csr_sw_dseq_en      <= av_write_in & csr_hit_ctrl ? av_writedata_in[1] : csr_sw_dseq_en;
-        // SW reset: Set by SW, cleared by HW, when reset goes active
-        csr_sw_rst          <= csr_sw_rst ? ~csr_sts_asrt_act : av_write_in & csr_hit_ctrl & av_writedata_in[0];
-    end
-end
-
-assign csr_readdata[2]  = { {29{1'b0}} , csr_sw_aseq_en, csr_sw_dseq_en , 1'b0 };
-
-// ------------------
-// SW sequenced reset entry controls
-// ------------------
-
-always_ff @(posedge clk, posedge reset)
-begin
-    if (reset) begin
-        csr_sw_aseq_bit_en      <= '1;
-    end
-    else begin
-        csr_sw_aseq_bit_en      <= av_write_in & csr_hit_swaseq ? av_writedata_in[MAX_OUTPUT-1:0] : csr_sw_aseq_bit_en;
-    end
-end
-
-assign csr_readdata[3] = { {(32-MAX_OUTPUT){1'b0}}, csr_sw_aseq_bit_en };
-assign csr_sw_aseq_bit_en_out    = csr_sw_aseq_en ? csr_sw_aseq_bit_en : '0;
-
-// ------------------
-// SW sequenced reset entry controls
-// ------------------
-
-always_ff @(posedge clk, posedge reset)
-begin
-    if (reset) begin
-        csr_sw_dseq_bit_en      <= '1;
-    end
-    else begin
-        // Basic R/W registers
-        csr_sw_dseq_bit_en      <= av_write_in & csr_hit_swdseq ? av_writedata_in[MAX_OUTPUT-1:0] : csr_sw_dseq_bit_en;
-    end
-end
-
-assign csr_readdata[4] = { {(32-MAX_OUTPUT){1'b0}}, csr_sw_dseq_bit_en };
-assign csr_sw_dseq_bit_en_out    = csr_sw_dseq_en ? csr_sw_dseq_bit_en : '0;
-
-// ------------------
-// SW direct controlled resets
-// ------------------
-
-always_ff @(posedge clk, posedge reset)
-begin
-    if (reset) begin
-        csr_rst_ovr_en  <= '0;
-        csr_rst_ovr     <= '0;
-    end
-    else begin
-        csr_rst_ovr_en  <= csr_rst_ovr_en_set | (~csr_rst_ovr_en_clr & csr_rst_ovr_en);
-        csr_rst_ovr     <= av_write_in & csr_hit_resetovr ? 
-                                csr_rst_ovr_en_set & av_writedata_in[MAX_OUTPUT-1:0] : csr_rst_ovr;
-    end
-end
-
-// Ovr_en is trigger qualification to ovr_set/ovr_clr
-assign csr_rst_ovr_en_set  = av_write_in & csr_hit_resetovr ? av_writedata_in[MAX_OUTPUT-1+16:16] : '0;
-assign csr_rst_ovr_en_clr  = av_write_in & csr_hit_resetovr ? ~av_writedata_in[MAX_OUTPUT-1+16:16]: '0;
-
-// Register is all RO/WO. Read is always 0
-assign csr_readdata[5] = { {(32-MAX_OUTPUT){1'b0}}, csr_rst_ovr };
-
-// ------------------
-// SW reset masking
-// ------------------
-
-always_ff @(posedge clk, posedge reset)
-begin
-    if (reset) begin
-        csr_rst_msk <= '0;
-    end
-    else begin
-        // Basic R/W registers
-        csr_rst_msk <= av_write_in & csr_hit_resetmask ? av_writedata_in[MAX_OUTPUT-1:0] : csr_rst_msk;
-    end
-end
-
-assign csr_readdata[6] = { {(32-MAX_OUTPUT){1'b0}}, csr_rst_msk };
-
-endmodule // altera_reset_sequencer_av_csr
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_deglitch.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_deglitch.sv
deleted file mode 100644
index a16cdcac3e042e13138bc7a83018af031e7ae859..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_deglitch.sv
+++ /dev/null
@@ -1,106 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// ------------------------------------------
-// Deglitch logic
-// - takes in input, and qualifies by a N number of cycles before
-//   allowing change to be propagated to output
-// ------------------------------------------
-`timescale 1 ns / 1 ns
-
-module altera_reset_sequencer_deglitch #(
-    parameter RESET_VALUE     = 0,
-    parameter DEGLITCH_CYCLES = 10
-) (
-
-    // ----------------------------------
-    // Basic clock and reset inputs
-    // ----------------------------------
-    input       clk,
-    input       reset,
-    input       cnt_clr, // synchrounous clear
-
-    // ------------------------
-    // Signals for deglitch
-    // ------------------------
-    input       sig_in,
-    output reg  sig_out
-
-);
-
-
-    // --------------------------------------------------
-    // Ceil(log2()) function
-    // --------------------------------------------------
-    function unsigned[63:0] log2ceil;
-        input reg[63:0] val;
-        reg [63:0] i;
-
-        begin
-            i = 1;
-            log2ceil = 0;
-
-            while (i < val) begin
-                log2ceil = log2ceil + 1;
-                i = i << 1;
-            end
-        end
-    endfunction
-
-    // -----------------------------
-    // Local derived parameters
-    // -----------------------------
-    localparam DCNT_W = log2ceil(DEGLITCH_CYCLES+1);
-
-    // -------------------------
-    // Internal Logics/Wires
-    // -------------------------
-    logic   allow_input_propagation;
-    logic   [DCNT_W-1:0] deglitch_cnt;
-    logic   [DCNT_W-1:0] deglitch_cnt_nxt;
-
-    // -------------------------------
-    // Deglitch counter
-    // -------------------------------
-    initial begin
-        deglitch_cnt <= '0;
-        sig_out     <= (RESET_VALUE==1)? 1'b1: 1'b0;
-    end
-
-    always @(posedge clk or posedge reset) begin
-        if (reset) begin
-            deglitch_cnt    <= '0;
-            sig_out         <= (RESET_VALUE == 1)? 1'b1 : 1'b0;
-        end else if (cnt_clr) begin
-            deglitch_cnt    <= '0;
-            sig_out         <= (RESET_VALUE == 1)? 1'b1 : 1'b0;        
-        end
-        else begin
-            if (allow_input_propagation) begin
-                deglitch_cnt <= '0;
-                sig_out      <= sig_in;
-            end else begin
-                deglitch_cnt <= deglitch_cnt_nxt;
-                sig_out      <= sig_out;
-            end
-        end
-    end
-
-    // Increment deglitch count if input signal is not equal to output signal
-    // else, treat it as a glitch and retain previous value
-    assign deglitch_cnt_nxt         = (sig_in == sig_out) ? '0 : deglitch_cnt + 1'b1;
-
-    // Allow sig_out to be sig_in only when DEGLITCH_CYCLES is met
-    assign allow_input_propagation  = (deglitch_cnt_nxt == DEGLITCH_CYCLES);
-
-endmodule
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_deglitch_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_deglitch_main.sv
deleted file mode 100644
index dc13f57310068c7c7cd05c042742a42c9d25a083..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_deglitch_main.sv
+++ /dev/null
@@ -1,211 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// ---------------------------
-// Main module that instantiates per-signal deglitcher
-// ---------------------------
-`timescale 1 ns / 1 ns
-
-module altera_reset_sequencer_deglitch_main #(
-    parameter MAX_OUTPUTS = 10,
-    parameter ENABLE     = 10'h1,
-    parameter DEGLITCH_CNT0 = 2,
-    parameter DEGLITCH_CNT1 = 3,
-    parameter DEGLITCH_CNT2 = 4,
-    parameter DEGLITCH_CNT3 = 5,
-    parameter DEGLITCH_CNT4 = 6,
-    parameter DEGLITCH_CNT5 = 7,
-    parameter DEGLITCH_CNT6 = 8,
-    parameter DEGLITCH_CNT7 = 9,
-    parameter DEGLITCH_CNT8 = 10,
-    parameter DEGLITCH_CNT9 = 11
-) (
-    // Clock and resets
-    input   clk,
-    input   reset,
-    input   deg_clr,
-
-    // Deglitch signals
-    input       [MAX_OUTPUTS-1:0]    sig_in,
-    output reg  [MAX_OUTPUTS-1:0]    sig_out
-);
-
-    // -------------------------------------
-    // Internal logic/wire declaration
-    // -------------------------------------
-    logic [MAX_OUTPUTS-1:0] int_sig_out;
-   
-    // ------------------------------------
-    // Output logic qualification with ENABLE
-    // -----------------------------------
-    genvar k;
-    generate 
-        for (k = 0; k < MAX_OUTPUTS; k = k+1) begin : sig_map
-            assign sig_out[k] = (ENABLE[0] == 1)? int_sig_out[k] : sig_in[k];
-        end
-    endgenerate
-
-    // ---------------------------------------
-    // Deglitch logic instantiations
-    // --------------------------------------
-    generate if (DEGLITCH_CNT0 > 0) begin : gen_deg0
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT0)
-    ) deg0 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[0]),
-        .sig_out (int_sig_out[0])
-    );
-    end else begin
-        assign int_sig_out[0] = sig_in[0];
-    end endgenerate
-
-    generate if (DEGLITCH_CNT1 > 0) begin : gen_deg1
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT1)
-    ) deg1 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[1]),
-        .sig_out (int_sig_out[1])
-    );
-    end else begin
-        assign int_sig_out[1] = sig_in[1];
-    end endgenerate
-
-    generate if (DEGLITCH_CNT2 > 0) begin : gen_deg2
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT2)
-    ) deg2 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[2]),
-        .sig_out (int_sig_out[2])
-    );
-    end else begin
-        assign int_sig_out[2] = sig_in[2];
-    end endgenerate
-
-    generate if (DEGLITCH_CNT3 > 0) begin : gen_deg3
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT3)
-    ) deg3 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[3]),
-        .sig_out (int_sig_out[3])
-    );
-    end else begin
-        assign int_sig_out[3] = sig_in[3];
-    end endgenerate
-
-    generate if (DEGLITCH_CNT4 > 0) begin : gen_deg4
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT4)
-    ) deg4 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[4]),
-        .sig_out (int_sig_out[4])
-    );
-    end else begin
-        assign int_sig_out[4] = sig_in[4];
-    end endgenerate
-
-    generate if (DEGLITCH_CNT5 > 0) begin : gen_deg5
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT5)
-    ) deg5 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[5]),
-        .sig_out (int_sig_out[5])
-    );
-    end else begin
-        assign int_sig_out[5] = sig_in[5];
-    end endgenerate
-
-    generate if (DEGLITCH_CNT6 > 0) begin : gen_deg6
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT6)
-    ) deg6 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[6]),
-        .sig_out (int_sig_out[6])
-    );
-    end else begin
-        assign int_sig_out[6] = sig_in[6];
-    end endgenerate
-
-    generate if (DEGLITCH_CNT7 > 0) begin : gen_deg7
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT7)
-    ) deg7 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[7]),
-        .sig_out (int_sig_out[7])
-    );
-    end else begin
-        assign int_sig_out[7] = sig_in[7];
-    end endgenerate
-
-    generate if (DEGLITCH_CNT8 > 0) begin : gen_deg8
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT8)
-    ) deg8 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[8]),
-        .sig_out (int_sig_out[8])
-    );
-    end else begin
-        assign int_sig_out[8] = sig_in[8];
-    end endgenerate
-
-    generate if (DEGLITCH_CNT9 > 0) begin : gen_deg9
-    altera_reset_sequencer_deglitch #(
-        .RESET_VALUE        (1'b0),
-        .DEGLITCH_CYCLES    (DEGLITCH_CNT9)
-    ) deg9 (
-        .clk     (clk),
-        .reset   (reset),
-        .cnt_clr(deg_clr),
-        .sig_in  (sig_in[9]),
-        .sig_out (int_sig_out[9])
-    );
-    end else begin
-        assign int_sig_out[9] = sig_in[9];
-    end endgenerate
-
-endmodule
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_dlycntr.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_dlycntr.sv
deleted file mode 100644
index b3e7725559971b1a45702fac73108b229e4ff05e..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_dlycntr.sv
+++ /dev/null
@@ -1,57 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// ---------------------------------------------------------------
-// Module : Reset sequencer delay counter
-// - This blocks counts until saturation value DELAY when cntr_en is high.
-// - When cntr_en is low, the counter is reset and back to initial state.
-// - qual - this is a signal used to saturate this counter immediately.
-//        - this is expected to be used only when DELAY is not used.
-// - sw_ovr/sw_qual - this is a software overwrite that is used to 
-//                  - control this counter directly
-// ----------------------------------------------------------------
-`timescale 1 ns / 1 ns
-
-module altera_reset_sequencer_dlycntr #(
-    parameter USE_QUAL = 0,
-    parameter DELAY = 8
-) (
-    input       clk,
-    input       reset,
-    input       cntr_en,
-    input       qual,
-    input       sw_ovr,
-    input       sw_qual,
-    output reg  count_done
-);
-
-logic [31:0] counter;
-logic       count_reached;
-
-assign count_reached = (counter == DELAY);
-assign count_done    = count_reached & cntr_en;
-
-always @(posedge clk or posedge reset) begin
-
-    if (reset)
-        counter <= '0;
-    else if (!cntr_en)
-        counter <= '0;
-    else if (!count_reached) begin
-        counter <= sw_ovr ? ( sw_qual? DELAY : '0 ) : 
-                            USE_QUAL? ( qual ? DELAY : '0) : 
-                                      counter + 1'b1;
-    end
-end
-
-endmodule
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_main.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_main.sv
deleted file mode 100644
index c0363309047dd717f6d8b633f72b2e4115253fba..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_main.sv
+++ /dev/null
@@ -1,208 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// -------------------------------------------------------
-// Reset Sequencer Main 
-// - Contains block that controls the deassertion sequencer and assertion sequencer based on input resets
-// - FSM is to control sequencing when multiple reset assertion (when in middle of asrt/dsrt sequence)
-// -------------------------------------------------------
-
-`timescale 1 ns / 1 ns
-
-module altera_reset_sequencer_main #(
-    parameter MIN_ASRT_TIME = 0
-)
-(
-    // -----------------------------------------------
-    // Clock and reset
-    // This reset is used to reset the logic in the sequencer
-    // -----------------------------------------------
-    input clk,
-    input reset,
-
-    // -----------------------------------------------
-    // Reset Control Input
-    // -----------------------------------------------
-    input  reset_in,    // assumed already synchronized
-    input  reset_sw_in,
-
-    // -----------------------------------------------
-    // Control interface with asrt/dsrt sequencer
-    // -----------------------------------------------
-    input       asrt_seq_done,
-    output reg  asrt_seq_en,
-    input       dsrt_seq_done,
-    output reg  dsrt_seq_en
-);
-
-  // -----------------------
-  // FSM state definitions
-  // -----------------------
-  typedef enum logic [1:0] {
- //   S_IDLE         = 2'b00, // Idle state. No active reset sequencing
-    S_ASRT_SEQ     = 2'b00, // Assertion state      : the assertion sequence block will be triggered.
-    S_ASRT_HOLD    = 2'b01, // Assertion hold state : Hold the assertion until minimum time required is met.
-    S_DSRT_SEQ     = 2'b10  // De-assertion state   : the deassertion sequencer block will be triggered
-  } fsm_state;
-  fsm_state state, next_state;
-
-    // --------------------------------------------------
-    // Ceil(log2()) function
-    // --------------------------------------------------
-    function unsigned[63:0] log2ceil;
-        input reg[63:0] val;
-        reg [63:0] i;
-
-        begin
-            i = 1;
-            log2ceil = 0;
-
-            while (i < val) begin
-                log2ceil = log2ceil + 1;
-                i = i << 1;
-            end
-        end
-    endfunction
-
-  // -----------------------------
-  // Local derived parameters
-  // -----------------------------
-  localparam HOLD_CNT_W = (MIN_ASRT_TIME == 0) ? 1 : log2ceil(MIN_ASRT_TIME+1);
-
-  // -----------------------
-  // Intermediate signals
-  // -----------------------
-  logic reset_pulse_pending;
-  logic set_reset_pulse_pending;
-  logic clr_reset_pulse_pending;
-  logic [HOLD_CNT_W-1:0] hold_count, hold_count_nxt;
-
-  logic reset_in_sync;
-  logic asrt_seq_en_nxt;
-  logic dsrt_seq_en_nxt;
-
-  // ---------------------------------------------------
-  // Reset edge detection
-  // ---------------------------------------------------
-    initial begin
-        reset_in_sync       <= 1'b0;
-        reset_pulse_pending <= 1'b0;
-    end
-  always @(posedge clk or posedge reset) begin
-    if (reset)  begin
-        reset_in_sync       <= 1'b0;
-        reset_pulse_pending <= 1'b0;
-    end
-    else begin
-        reset_in_sync       <= reset_in;
-        reset_pulse_pending <= set_reset_pulse_pending | (reset_pulse_pending & ~clr_reset_pulse_pending);
-    end
-  end
-
-  always_comb begin
-
-        // Set pulse when 
-        // - rising edge is detected OR
-        // - software triggers a reset
-        set_reset_pulse_pending = (reset_in & ~reset_in_sync) | reset_sw_in;
-
-        // Clear this pulse when assertion sequence is done
-        // Note: If pending is set during deassertion sequence, 
-        //       the whole reset entry and deassertion will be restarted
-        //       If pending is set during assertion sequence, 
-        //       the active assertion sequence is assumed to cover this reset assertion already, and will not retrigger
-        clr_reset_pulse_pending = (state == S_ASRT_SEQ) | (state == S_ASRT_HOLD);
-  end
-
-
-  // ----------------------------------------------------
-  // FSM : Finite State Machine
-  // ---------------------------------------------------
-  always_comb begin : state_transition
-
-    case (state)
-
-    S_ASRT_SEQ : begin
-        next_state = S_ASRT_SEQ;
-        // Waits for deassertion of reset_in as well as assertion sequence done
-        // to arc to DSRT_SEQ
-        if (asrt_seq_done & ~reset_in_sync)  begin
-            if (MIN_ASRT_TIME == 0) next_state = S_DSRT_SEQ;
-            else                    next_state = S_ASRT_HOLD;
-        end
-    end
-
-    S_ASRT_HOLD : begin
-        next_state = S_ASRT_HOLD;
-        // Wait for minimum hold count
-        if (~reset_in_sync & hold_count == MIN_ASRT_TIME) next_state = S_DSRT_SEQ;
-    end
-
-    S_DSRT_SEQ : begin
-        next_state = S_DSRT_SEQ;
-
-        // Waits for deassertion sequence done and arc back to IDLE unless
-        // there is pending reset
-        //if (~reset_in_sync & dsrt_seq_done & ~reset_pulse_pending)   next_state = S_IDLE;
-        // no longer has S_IDLE
-
-        // If there is pending reset, immediately are to ASRT_SEQ
-        if (reset_pulse_pending & dsrt_seq_done)                    next_state = S_ASRT_SEQ;
-    end
-
-    default : begin
-        next_state = S_ASRT_SEQ;
-    end
-
-    endcase
-  end
-
-  // ----------------------------------------------------
-  // FSM : Controlled output control signals
-  // ----------------------------------------------------
-  // Enable the assertion/deassertion block whenever in the respective states
-  always_comb begin : fsm_outputs
-        asrt_seq_en_nxt     = ~dsrt_seq_done; // (next_state != S_IDLE); // (next_state == S_ASRT_SEQ) | (next_state == S_ASRT_HOLD);
-        dsrt_seq_en_nxt     = (next_state == S_DSRT_SEQ);
-        hold_count_nxt      = (next_state == S_ASRT_HOLD) ? 
-                                ( (hold_count == MIN_ASRT_TIME) ? hold_count : hold_count + 1'b1 ) : '0;
-  end  
-
-  initial begin
-        state           <= S_ASRT_SEQ; // S_IDLE;
-        asrt_seq_en     <= 1'b0;
-        dsrt_seq_en     <= 1'b0;
-        hold_count      <= '0;
-  end
-
-  always @(posedge clk or posedge reset) begin
-	if (reset) 	begin
-        // Reset to DSRT_SEQ state, since this is the power-up state
-        // - waits for reset to be deasserted, and start the deassertion sequence
-		state		    <= S_ASRT_SEQ; // S_IDLE;
-		asrt_seq_en 	<= 1'b0;
-		dsrt_seq_en 	<= 1'b0;
-        hold_count      <= '0;
-	end
-	else begin
-        state           <= next_state;
-        asrt_seq_en     <= asrt_seq_en_nxt;
-        dsrt_seq_en     <= dsrt_seq_en_nxt;
-        hold_count      <= hold_count_nxt;
-	end
-  end 
- 
- 
-endmodule
-
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_seq.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_seq.sv
deleted file mode 100644
index 88a311168d7f47e04c1fafcc283bd4592164f2d7..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_sequencer_seq.sv
+++ /dev/null
@@ -1,159 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// -------------------------------------------------------
-// Reset Sequencer Block
-// - Stage the reset assertion or de-assertion of reset
-// - This block contains a sequenced counter that walks through each bit 
-//   and set a pulse according to sequence
-// -------------------------------------------------------
-
-`timescale 1 ns / 1 ns
-
-module altera_reset_sequencer_seq
-#(
-    parameter NUM_OUTPUTS = 'd3,
-    parameter MAX_OUTPUTS = 10,
-    parameter USE_QUAL  = 10'h0,
-    parameter SEQUENCER_EN = 'd1,
-    parameter DELAY0  = 'd1,
-    parameter DELAY1  = 'd2,
-    parameter DELAY2  = 'd3,
-    parameter DELAY3  = 'd4,
-    parameter DELAY4  = 'd5,
-    parameter DELAY5  = 'd6,
-    parameter DELAY6  = 'd7,
-    parameter DELAY7  = 'd8,
-    parameter DELAY8  = 'd9,
-    parameter DELAY9  = 'd10
-)
-(
-    // --------------------------------
-    // Clock and reset
-    // --------------------------------
-    input clk,
-    input reset,
-
-    // --------------------------------
-    // Interface with main sequencer
-    // --------------------------------
-    input                       enable,
-    output reg                  done,
-
-    // --------------------------------
-    // CSR inputs
-    // --------------------------------
-    input [MAX_OUTPUTS-1:0]     csr_seq_ovr,
-    input                       csr_seq_next,
-    output reg                  csr_wait_sw,
-
-    // --------------------------------
-    // Input control from external 
-    // - (this is used to control sequencing instead of timer)
-    // - based on USE_QUAL qualification
-    // --------------------------------
-    input [MAX_OUTPUTS-1:0]     in_qual,
-
-    // --------------------------------
-    // Output (Level track signal used to drive the set term of output resets)
-    // --------------------------------
-    output reg [MAX_OUTPUTS-1:0]     track_out
-);
-
-  localparam COUNTER_W  = 10;
-  localparam TRACK_W    = 10;
-
-  logic bypass_sequencer;
-  logic [MAX_OUTPUTS-1:0] track, track_q;
-  logic csr_wait_sw_q1,csr_wait_sw_q2,csr_wait_sw_nxt;
-
-  assign bypass_sequencer = (SEQUENCER_EN == 0) ;
-
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[0]? 1 : DELAY0), .USE_QUAL(USE_QUAL[0]) ) dlycntr0 (
-    .clk (clk), .reset(reset), .qual(in_qual[0]), .sw_ovr(csr_seq_ovr[0]), .sw_qual(csr_seq_next),
-    .cntr_en(bypass_sequencer? 1'b0: enable)   , .count_done(track[0]) );
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[1]? 1 : DELAY1), .USE_QUAL(USE_QUAL[1]) ) dlycntr1 (
-    .clk (clk), .reset(reset), .qual(in_qual[1]), .sw_ovr(csr_seq_ovr[1]), .sw_qual(csr_seq_next),
-    .cntr_en( (NUM_OUTPUTS>1)? track[0]:1'b0) , .count_done(track[1]) );
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[2]? 1 : DELAY2), .USE_QUAL(USE_QUAL[2]) ) dlycntr2 (
-    .clk (clk), .reset(reset), .qual(in_qual[2]), .sw_ovr(csr_seq_ovr[2]), .sw_qual(csr_seq_next),
-    .cntr_en((NUM_OUTPUTS>2)? track[1]:1'b0) , .count_done(track[2]) );
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[3]? 1 : DELAY3), .USE_QUAL(USE_QUAL[3]) ) dlycntr3 (
-    .clk (clk), .reset(reset), .qual(in_qual[3]), .sw_ovr(csr_seq_ovr[3]), .sw_qual(csr_seq_next),
-    .cntr_en((NUM_OUTPUTS>3)? track[2]:1'b0) , .count_done(track[3]) );
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[4]? 1 : DELAY4), .USE_QUAL(USE_QUAL[4]) ) dlycntr4 (
-    .clk (clk), .reset(reset), .qual(in_qual[4]), .sw_ovr(csr_seq_ovr[4]), .sw_qual(csr_seq_next),
-    .cntr_en((NUM_OUTPUTS>4)? track[3]:1'b0) , .count_done(track[4]) );
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[5]? 1 : DELAY5), .USE_QUAL(USE_QUAL[5]) ) dlycntr5 (
-    .clk (clk), .reset(reset), .qual(in_qual[5]), .sw_ovr(csr_seq_ovr[5]), .sw_qual(csr_seq_next),
-    .cntr_en((NUM_OUTPUTS>5)? track[4]:1'b0) , .count_done(track[5]) );
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[6]? 1 : DELAY6), .USE_QUAL(USE_QUAL[6]) ) dlycntr6 (
-    .clk (clk), .reset(reset), .qual(in_qual[6]), .sw_ovr(csr_seq_ovr[6]), .sw_qual(csr_seq_next),
-    .cntr_en((NUM_OUTPUTS>6)? track[5]:1'b0) , .count_done(track[6]) );
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[7]? 1 : DELAY7), .USE_QUAL(USE_QUAL[7]) ) dlycntr7 (
-    .clk (clk), .reset(reset), .qual(in_qual[7]), .sw_ovr(csr_seq_ovr[7]), .sw_qual(csr_seq_next),
-    .cntr_en((NUM_OUTPUTS>7)? track[6]:1'b0) , .count_done(track[7]) );
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[8]? 1 : DELAY8), .USE_QUAL(USE_QUAL[8])) dlycntr8 (
-    .clk (clk), .reset(reset), .qual(in_qual[8]), .sw_ovr(csr_seq_ovr[8]), .sw_qual(csr_seq_next),
-    .cntr_en((NUM_OUTPUTS>8)? track[7]:1'b0) , .count_done(track[8]) );
-  altera_reset_sequencer_dlycntr #( .DELAY(USE_QUAL[9]? 1 : DELAY9), .USE_QUAL(USE_QUAL[9]) ) dlycntr9 (
-    .clk (clk), .reset(reset), .qual(in_qual[9]), .sw_ovr(csr_seq_ovr[9]), .sw_qual(csr_seq_next),
-    .cntr_en((NUM_OUTPUTS>9)? track[8]:1'b0) , .count_done(track[9]) );
-
-
-  // ----------------------------------------------------
-  // Output control signals
-  // ----------------------------------------------------
-
-  assign done = bypass_sequencer? enable : track[NUM_OUTPUTS-1];
-
-  always_ff @(posedge clk or posedge reset) begin
-    if (reset)  begin
-        track_q         <= '0;
-        csr_wait_sw_q1  <= '0;
-        csr_wait_sw_q2  <= '0;
-    end
-    else if (!enable) begin
-        track_q         <= '0;
-        csr_wait_sw_q1  <= '0;
-        csr_wait_sw_q2  <= '0;
-    end
-    else begin
-        track_q         <= track;
-        csr_wait_sw_q1  <= csr_wait_sw_nxt;
-        csr_wait_sw_q2  <= csr_wait_sw_q1;
-    end
-  end
-
- logic [MAX_OUTPUTS-1:0] track_pulse;
- assign track_pulse = ~track_q & track;
-
-  assign csr_wait_sw_nxt =  ~done & ~|track_pulse &
-                            (   enable   & ~track[0] & csr_seq_ovr[0] |
-                                track[0] & ~track[1] & csr_seq_ovr[1] |
-                                track[1] & ~track[2] & csr_seq_ovr[2] |
-                                track[2] & ~track[3] & csr_seq_ovr[3] |
-                                track[3] & ~track[4] & csr_seq_ovr[4] |
-                                track[4] & ~track[5] & csr_seq_ovr[5] |
-                                track[5] & ~track[6] & csr_seq_ovr[6] |
-                                track[6] & ~track[7] & csr_seq_ovr[7] |
-                                track[7] & ~track[8] & csr_seq_ovr[8] |
-                                track[8] & ~track[9] & csr_seq_ovr[9] );
-
-  assign track_out = track |  (bypass_sequencer? {MAX_OUTPUTS{enable}} : '0);
-
-  assign csr_wait_sw    = csr_wait_sw_q1 & ~csr_wait_sw_q2; // set pulse
-
-endmodule
-
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_synchronizer.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_synchronizer.v
deleted file mode 100644
index ca48d4254d6509a5c1713e52a45a0d2cefc7f9f3..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/altera_reset_sequencer_180/synth/altera_reset_synchronizer.v
+++ /dev/null
@@ -1,87 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// $Id: //acds/rel/18.0/ip/merlin/altera_reset_controller/altera_reset_synchronizer.v#1 $
-// $Revision: #1 $
-// $Date: 2018/02/08 $
-// $Author: psgswbuild $
-
-// -----------------------------------------------
-// Reset Synchronizer
-// -----------------------------------------------
-`timescale 1 ns / 1 ns
-
-module altera_reset_synchronizer
-#(
-    parameter ASYNC_RESET = 1,
-    parameter DEPTH       = 2
-)
-(
-    input   reset_in /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */,
-
-    input   clk,
-    output  reset_out
-);
-
-    // -----------------------------------------------
-    // Synchronizer register chain. We cannot reuse the
-    // standard synchronizer in this implementation 
-    // because our timing constraints are different.
-    //
-    // Instead of cutting the timing path to the d-input 
-    // on the first flop we need to cut the aclr input.
-    // 
-    // We omit the "preserve" attribute on the final
-    // output register, so that the synthesis tool can
-    // duplicate it where needed.
-    // -----------------------------------------------
-    (*preserve*) reg [DEPTH-1:0] altera_reset_synchronizer_int_chain;
-    reg altera_reset_synchronizer_int_chain_out;
-
-    generate if (ASYNC_RESET) begin
-
-        // -----------------------------------------------
-        // Assert asynchronously, deassert synchronously.
-        // -----------------------------------------------
-        always @(posedge clk or posedge reset_in) begin
-            if (reset_in) begin
-                altera_reset_synchronizer_int_chain <= {DEPTH{1'b1}};
-                altera_reset_synchronizer_int_chain_out <= 1'b1;
-            end
-            else begin
-                altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1];
-                altera_reset_synchronizer_int_chain[DEPTH-1] <= 0;
-                altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0];
-            end
-        end
-
-        assign reset_out = altera_reset_synchronizer_int_chain_out;
-     
-    end else begin
-
-        // -----------------------------------------------
-        // Assert synchronously, deassert synchronously.
-        // -----------------------------------------------
-        always @(posedge clk) begin
-            altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1];
-            altera_reset_synchronizer_int_chain[DEPTH-1] <= reset_in;
-            altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0];
-        end
-
-        assign reset_out = altera_reset_synchronizer_int_chain_out;
- 
-    end
-    endgenerate
-
-endmodule
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.bsf b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.bsf
deleted file mode 100644
index 5b4ada6e030863eb6e4e349792e111415d9663a1..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.bsf
+++ /dev/null
@@ -1,246 +0,0 @@
-/*
-WARNING: Do NOT edit the input and output ports in this file in a text
-editor if you plan to continue editing the block that represents it in
-the Block Editor! File corruption is VERY likely to occur.
-*/
-/*
-Copyright (C) 2019  Intel Corporation. All rights reserved.
-Your use of Intel Corporation's design tools, logic functions 
-and other software and tools, and any partner logic 
-functions, and any output files from any of the foregoing 
-(including device programming or simulation files), and any 
-associated documentation or information are expressly subject 
-to the terms and conditions of the Intel Program License 
-Subscription Agreement, the Intel Quartus Prime License Agreement,
-the Intel FPGA IP License Agreement, or other applicable license
-agreement, including, without limitation, that your use is for
-the sole purpose of programming logic devices manufactured by
-Intel and sold by Intel or its authorized distributors.  Please
-refer to the applicable agreement for further details, at
-https://fpgasoftware.intel.com/eula.
-*/
-(header "symbol" (version "1.1"))
-(symbol
-	(rect 0 0 496 424)
-	(text "ip_arria10_e1sg_jesd204b_rx_reset_seq" (rect 130 -1 292 11)(font "Arial" (font_size 10)))
-	(text "inst" (rect 8 408 20 420)(font "Arial" ))
-	(port
-		(pt 0 72)
-		(input)
-		(text "av_csr_address[7..0]" (rect 0 0 87 12)(font "Arial" (font_size 8)))
-		(text "av_csr_address[7..0]" (rect 4 61 124 72)(font "Arial" (font_size 8)))
-		(line (pt 0 72)(pt 192 72)(line_width 3))
-	)
-	(port
-		(pt 0 104)
-		(input)
-		(text "av_csr_read" (rect 0 0 53 12)(font "Arial" (font_size 8)))
-		(text "av_csr_read" (rect 4 93 70 104)(font "Arial" (font_size 8)))
-		(line (pt 0 104)(pt 192 104)(line_width 1))
-	)
-	(port
-		(pt 0 120)
-		(input)
-		(text "av_csr_writedata[31..0]" (rect 0 0 93 12)(font "Arial" (font_size 8)))
-		(text "av_csr_writedata[31..0]" (rect 4 109 142 120)(font "Arial" (font_size 8)))
-		(line (pt 0 120)(pt 192 120)(line_width 3))
-	)
-	(port
-		(pt 0 136)
-		(input)
-		(text "av_csr_write" (rect 0 0 53 12)(font "Arial" (font_size 8)))
-		(text "av_csr_write" (rect 4 125 76 136)(font "Arial" (font_size 8)))
-		(line (pt 0 136)(pt 192 136)(line_width 1))
-	)
-	(port
-		(pt 0 176)
-		(input)
-		(text "clk_clk" (rect 0 0 27 12)(font "Arial" (font_size 8)))
-		(text "clk_clk" (rect 4 165 46 176)(font "Arial" (font_size 8)))
-		(line (pt 0 176)(pt 192 176)(line_width 1))
-	)
-	(port
-		(pt 0 216)
-		(input)
-		(text "csr_reset_reset" (rect 0 0 64 12)(font "Arial" (font_size 8)))
-		(text "csr_reset_reset" (rect 4 205 94 216)(font "Arial" (font_size 8)))
-		(line (pt 0 216)(pt 192 216)(line_width 1))
-	)
-	(port
-		(pt 0 256)
-		(input)
-		(text "reset1_dsrt_qual_reset1_dsrt_qual" (rect 0 0 138 12)(font "Arial" (font_size 8)))
-		(text "reset1_dsrt_qual_reset1_dsrt_qual" (rect 4 245 202 256)(font "Arial" (font_size 8)))
-		(line (pt 0 256)(pt 192 256)(line_width 1))
-	)
-	(port
-		(pt 0 296)
-		(input)
-		(text "reset2_dsrt_qual_reset2_dsrt_qual" (rect 0 0 140 12)(font "Arial" (font_size 8)))
-		(text "reset2_dsrt_qual_reset2_dsrt_qual" (rect 4 285 202 296)(font "Arial" (font_size 8)))
-		(line (pt 0 296)(pt 192 296)(line_width 1))
-	)
-	(port
-		(pt 0 336)
-		(input)
-		(text "reset5_dsrt_qual_reset5_dsrt_qual" (rect 0 0 140 12)(font "Arial" (font_size 8)))
-		(text "reset5_dsrt_qual_reset5_dsrt_qual" (rect 4 325 202 336)(font "Arial" (font_size 8)))
-		(line (pt 0 336)(pt 192 336)(line_width 1))
-	)
-	(port
-		(pt 0 376)
-		(input)
-		(text "reset_in0_reset" (rect 0 0 62 12)(font "Arial" (font_size 8)))
-		(text "reset_in0_reset" (rect 4 365 94 376)(font "Arial" (font_size 8)))
-		(line (pt 0 376)(pt 192 376)(line_width 1))
-	)
-	(port
-		(pt 0 88)
-		(output)
-		(text "av_csr_readdata[31..0]" (rect 0 0 93 12)(font "Arial" (font_size 8)))
-		(text "av_csr_readdata[31..0]" (rect 4 77 136 88)(font "Arial" (font_size 8)))
-		(line (pt 0 88)(pt 192 88)(line_width 3))
-	)
-	(port
-		(pt 496 72)
-		(output)
-		(text "irq_irq" (rect 0 0 24 12)(font "Arial" (font_size 8)))
-		(text "irq_irq" (rect 463 61 505 72)(font "Arial" (font_size 8)))
-		(line (pt 496 72)(pt 304 72)(line_width 1))
-	)
-	(port
-		(pt 496 112)
-		(output)
-		(text "reset_out0_reset" (rect 0 0 68 12)(font "Arial" (font_size 8)))
-		(text "reset_out0_reset" (rect 409 101 505 112)(font "Arial" (font_size 8)))
-		(line (pt 496 112)(pt 304 112)(line_width 1))
-	)
-	(port
-		(pt 496 152)
-		(output)
-		(text "reset_out1_reset" (rect 0 0 67 12)(font "Arial" (font_size 8)))
-		(text "reset_out1_reset" (rect 411 141 507 152)(font "Arial" (font_size 8)))
-		(line (pt 496 152)(pt 304 152)(line_width 1))
-	)
-	(port
-		(pt 496 192)
-		(output)
-		(text "reset_out2_reset" (rect 0 0 68 12)(font "Arial" (font_size 8)))
-		(text "reset_out2_reset" (rect 409 181 505 192)(font "Arial" (font_size 8)))
-		(line (pt 496 192)(pt 304 192)(line_width 1))
-	)
-	(port
-		(pt 496 232)
-		(output)
-		(text "reset_out3_reset" (rect 0 0 68 12)(font "Arial" (font_size 8)))
-		(text "reset_out3_reset" (rect 409 221 505 232)(font "Arial" (font_size 8)))
-		(line (pt 496 232)(pt 304 232)(line_width 1))
-	)
-	(port
-		(pt 496 272)
-		(output)
-		(text "reset_out4_reset" (rect 0 0 69 12)(font "Arial" (font_size 8)))
-		(text "reset_out4_reset" (rect 409 261 505 272)(font "Arial" (font_size 8)))
-		(line (pt 496 272)(pt 304 272)(line_width 1))
-	)
-	(port
-		(pt 496 312)
-		(output)
-		(text "reset_out5_reset" (rect 0 0 68 12)(font "Arial" (font_size 8)))
-		(text "reset_out5_reset" (rect 409 301 505 312)(font "Arial" (font_size 8)))
-		(line (pt 496 312)(pt 304 312)(line_width 1))
-	)
-	(port
-		(pt 496 352)
-		(output)
-		(text "reset_out6_reset" (rect 0 0 68 12)(font "Arial" (font_size 8)))
-		(text "reset_out6_reset" (rect 409 341 505 352)(font "Arial" (font_size 8)))
-		(line (pt 496 352)(pt 304 352)(line_width 1))
-	)
-	(port
-		(pt 496 392)
-		(output)
-		(text "reset_out7_reset" (rect 0 0 68 12)(font "Arial" (font_size 8)))
-		(text "reset_out7_reset" (rect 409 381 505 392)(font "Arial" (font_size 8)))
-		(line (pt 496 392)(pt 304 392)(line_width 1))
-	)
-	(drawing
-		(text "av_csr" (rect 154 43 344 99)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "address" (rect 197 67 436 144)(font "Arial" (color 0 0 0)))
-		(text "readdata" (rect 197 83 442 176)(font "Arial" (color 0 0 0)))
-		(text "read" (rect 197 99 418 208)(font "Arial" (color 0 0 0)))
-		(text "writedata" (rect 197 115 448 240)(font "Arial" (color 0 0 0)))
-		(text "write" (rect 197 131 424 272)(font "Arial" (color 0 0 0)))
-		(text "irq" (rect 305 43 628 99)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "irq" (rect 290 67 598 144)(font "Arial" (color 0 0 0)))
-		(text "clk" (rect 177 147 372 307)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "clk" (rect 197 171 412 352)(font "Arial" (color 0 0 0)))
-		(text "csr_reset" (rect 137 187 328 387)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 197 211 424 432)(font "Arial" (color 0 0 0)))
-		(text "reset1_dsrt_qual" (rect 95 227 286 467)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset1_dsrt_qual" (rect 197 251 490 512)(font "Arial" (color 0 0 0)))
-		(text "reset2_dsrt_qual" (rect 93 267 282 547)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset2_dsrt_qual" (rect 197 291 490 592)(font "Arial" (color 0 0 0)))
-		(text "reset5_dsrt_qual" (rect 93 307 282 627)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset5_dsrt_qual" (rect 197 331 490 672)(font "Arial" (color 0 0 0)))
-		(text "reset_in0" (rect 138 347 330 707)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 197 371 424 752)(font "Arial" (color 0 0 0)))
-		(text "reset_out0" (rect 305 83 670 179)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 279 107 588 224)(font "Arial" (color 0 0 0)))
-		(text "reset_out1" (rect 305 123 670 259)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 279 147 588 304)(font "Arial" (color 0 0 0)))
-		(text "reset_out2" (rect 305 163 670 339)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 279 187 588 384)(font "Arial" (color 0 0 0)))
-		(text "reset_out3" (rect 305 203 670 419)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 279 227 588 464)(font "Arial" (color 0 0 0)))
-		(text "reset_out4" (rect 305 243 670 499)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 279 267 588 544)(font "Arial" (color 0 0 0)))
-		(text "reset_out5" (rect 305 283 670 579)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 279 307 588 624)(font "Arial" (color 0 0 0)))
-		(text "reset_out6" (rect 305 323 670 659)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 279 347 588 704)(font "Arial" (color 0 0 0)))
-		(text "reset_out7" (rect 305 363 670 739)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 279 387 588 784)(font "Arial" (color 0 0 0)))
-		(text " ip_arria10_e1sg_jesd204b_rx_reset_seq " (rect 318 408 870 826)(font "Arial" ))
-		(line (pt 192 32)(pt 304 32)(line_width 1))
-		(line (pt 304 32)(pt 304 408)(line_width 1))
-		(line (pt 192 408)(pt 304 408)(line_width 1))
-		(line (pt 192 32)(pt 192 408)(line_width 1))
-		(line (pt 193 52)(pt 193 140)(line_width 1))
-		(line (pt 194 52)(pt 194 140)(line_width 1))
-		(line (pt 303 52)(pt 303 76)(line_width 1))
-		(line (pt 302 52)(pt 302 76)(line_width 1))
-		(line (pt 193 156)(pt 193 180)(line_width 1))
-		(line (pt 194 156)(pt 194 180)(line_width 1))
-		(line (pt 193 196)(pt 193 220)(line_width 1))
-		(line (pt 194 196)(pt 194 220)(line_width 1))
-		(line (pt 193 236)(pt 193 260)(line_width 1))
-		(line (pt 194 236)(pt 194 260)(line_width 1))
-		(line (pt 193 276)(pt 193 300)(line_width 1))
-		(line (pt 194 276)(pt 194 300)(line_width 1))
-		(line (pt 193 316)(pt 193 340)(line_width 1))
-		(line (pt 194 316)(pt 194 340)(line_width 1))
-		(line (pt 193 356)(pt 193 380)(line_width 1))
-		(line (pt 194 356)(pt 194 380)(line_width 1))
-		(line (pt 303 92)(pt 303 116)(line_width 1))
-		(line (pt 302 92)(pt 302 116)(line_width 1))
-		(line (pt 303 132)(pt 303 156)(line_width 1))
-		(line (pt 302 132)(pt 302 156)(line_width 1))
-		(line (pt 303 172)(pt 303 196)(line_width 1))
-		(line (pt 302 172)(pt 302 196)(line_width 1))
-		(line (pt 303 212)(pt 303 236)(line_width 1))
-		(line (pt 302 212)(pt 302 236)(line_width 1))
-		(line (pt 303 252)(pt 303 276)(line_width 1))
-		(line (pt 302 252)(pt 302 276)(line_width 1))
-		(line (pt 303 292)(pt 303 316)(line_width 1))
-		(line (pt 302 292)(pt 302 316)(line_width 1))
-		(line (pt 303 332)(pt 303 356)(line_width 1))
-		(line (pt 302 332)(pt 302 356)(line_width 1))
-		(line (pt 303 372)(pt 303 396)(line_width 1))
-		(line (pt 302 372)(pt 302 396)(line_width 1))
-		(line (pt 0 0)(pt 496 0)(line_width 1))
-		(line (pt 496 0)(pt 496 424)(line_width 1))
-		(line (pt 0 424)(pt 496 424)(line_width 1))
-		(line (pt 0 0)(pt 0 424)(line_width 1))
-	)
-)
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.cmp b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.cmp
deleted file mode 100644
index 7c806008043582844d5f18c46d3f6236b6c196a7..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.cmp
+++ /dev/null
@@ -1,25 +0,0 @@
-	component ip_arria10_e1sg_jesd204b_rx_reset_seq is
-		port (
-			av_csr_address                    : in  std_logic_vector(7 downto 0)  := (others => 'X'); -- address
-			av_csr_readdata                   : out std_logic_vector(31 downto 0);                    -- readdata
-			av_csr_read                       : in  std_logic                     := 'X';             -- read
-			av_csr_writedata                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
-			av_csr_write                      : in  std_logic                     := 'X';             -- write
-			irq_irq                           : out std_logic;                                        -- irq
-			clk_clk                           : in  std_logic                     := 'X';             -- clk
-			csr_reset_reset                   : in  std_logic                     := 'X';             -- reset
-			reset1_dsrt_qual_reset1_dsrt_qual : in  std_logic                     := 'X';             -- reset1_dsrt_qual
-			reset2_dsrt_qual_reset2_dsrt_qual : in  std_logic                     := 'X';             -- reset2_dsrt_qual
-			reset5_dsrt_qual_reset5_dsrt_qual : in  std_logic                     := 'X';             -- reset5_dsrt_qual
-			reset_in0_reset                   : in  std_logic                     := 'X';             -- reset
-			reset_out0_reset                  : out std_logic;                                        -- reset
-			reset_out1_reset                  : out std_logic;                                        -- reset
-			reset_out2_reset                  : out std_logic;                                        -- reset
-			reset_out3_reset                  : out std_logic;                                        -- reset
-			reset_out4_reset                  : out std_logic;                                        -- reset
-			reset_out5_reset                  : out std_logic;                                        -- reset
-			reset_out6_reset                  : out std_logic;                                        -- reset
-			reset_out7_reset                  : out std_logic                                         -- reset
-		);
-	end component ip_arria10_e1sg_jesd204b_rx_reset_seq;
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.csv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.csv
deleted file mode 100644
index 5b0167a5fffd0759a2a66534faeabb42cb1df887..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.csv
+++ /dev/null
@@ -1,53 +0,0 @@
-# system info ip_arria10_e1sg_jesd204b_rx_reset_seq on 2019.11.25.08:22:08
-system_info:
-name,value
-DEVICE,10AX115U2F45E1SG
-DEVICE_FAMILY,Arria 10
-GENERATION_ID,0
-#
-#
-# Files generated for ip_arria10_e1sg_jesd204b_rx_reset_seq on 2019.11.25.08:22:08
-files:
-filepath,kind,attributes,module,is_top
-sim/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd,VHDL,CONTAINS_INLINE_CONFIGURATION,ip_arria10_e1sg_jesd204b_rx_reset_seq,true
-altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_main.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_seq.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch_main.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_dlycntr.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_av_csr.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/mentor/altera_reset_controller.v,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/mentor/altera_reset_synchronizer.v,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer.sv,SYSTEM_VERILOG_ENCRYPT,ALDEC_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_main.sv,SYSTEM_VERILOG_ENCRYPT,ALDEC_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_seq.sv,SYSTEM_VERILOG_ENCRYPT,ALDEC_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch.sv,SYSTEM_VERILOG_ENCRYPT,ALDEC_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch_main.sv,SYSTEM_VERILOG_ENCRYPT,ALDEC_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_dlycntr.sv,SYSTEM_VERILOG_ENCRYPT,ALDEC_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_av_csr.sv,SYSTEM_VERILOG_ENCRYPT,ALDEC_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/aldec/altera_reset_controller.v,SYSTEM_VERILOG_ENCRYPT,ALDEC_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/aldec/altera_reset_synchronizer.v,SYSTEM_VERILOG_ENCRYPT,ALDEC_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer.sv,SYSTEM_VERILOG_ENCRYPT,CADENCE_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_main.sv,SYSTEM_VERILOG_ENCRYPT,CADENCE_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_seq.sv,SYSTEM_VERILOG_ENCRYPT,CADENCE_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch.sv,SYSTEM_VERILOG_ENCRYPT,CADENCE_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch_main.sv,SYSTEM_VERILOG_ENCRYPT,CADENCE_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_dlycntr.sv,SYSTEM_VERILOG_ENCRYPT,CADENCE_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_av_csr.sv,SYSTEM_VERILOG_ENCRYPT,CADENCE_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/cadence/altera_reset_controller.v,SYSTEM_VERILOG_ENCRYPT,CADENCE_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/cadence/altera_reset_synchronizer.v,SYSTEM_VERILOG_ENCRYPT,CADENCE_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer.sv,SYSTEM_VERILOG_ENCRYPT,SYNOPSYS_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_main.sv,SYSTEM_VERILOG_ENCRYPT,SYNOPSYS_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_seq.sv,SYSTEM_VERILOG_ENCRYPT,SYNOPSYS_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch.sv,SYSTEM_VERILOG_ENCRYPT,SYNOPSYS_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch_main.sv,SYSTEM_VERILOG_ENCRYPT,SYNOPSYS_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_dlycntr.sv,SYSTEM_VERILOG_ENCRYPT,SYNOPSYS_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_av_csr.sv,SYSTEM_VERILOG_ENCRYPT,SYNOPSYS_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/synopsys/altera_reset_controller.v,SYSTEM_VERILOG_ENCRYPT,SYNOPSYS_SPECIFIC,altera_reset_sequencer,false
-altera_reset_sequencer_180/sim/synopsys/altera_reset_synchronizer.v,SYSTEM_VERILOG_ENCRYPT,SYNOPSYS_SPECIFIC,altera_reset_sequencer,false
-#
-# Map from instance-path to kind of module
-instances:
-instancePath,module
-ip_arria10_e1sg_jesd204b_rx_reset_seq.reset_seq,altera_reset_sequencer
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.html b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.html
deleted file mode 100644
index 57869c9d2b691d199b680f137ea0b12faba2b3a9..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.html
+++ /dev/null
@@ -1,141 +0,0 @@
-<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN">
-
-<html xmlns="http://www.w3.org/1999/xhtml">
- <head>
-  <title>datasheet for ip_arria10_e1sg_jesd204b_rx_reset_seq</title>
-  <style type="text/css">
-body { font-family:arial ;}
-a { text-decoration:underline ; color:#003000 ;}
-a:hover { text-decoration:underline ; color:0030f0 ;}
-td { padding : 5px ;}
-table.topTitle { width:100% ;}
-table.topTitle td.l { text-align:left ; font-weight: bold ; font-size:30px ;}
-table.topTitle td.r { text-align:right ; font-weight: bold ; font-size:16px ;}
-table.blueBar { width : 100% ; border-spacing : 0px ;}
-table.blueBar td { background:#0036ff ; font-size:12px ; color : white ; text-align : left ; font-weight : bold ;}
-table.blueBar td.l { text-align : left ;}
-table.blueBar td.r { text-align : right ;}
-table.items { width:100% ; border-collapse:collapse ;}
-table.items td.label { font-weight:bold ; font-size:16px ; vertical-align:top ;}
-table.items td.mono { font-family:courier ; font-size:12px ; white-space:pre ;}
-div.label { font-weight:bold ; font-size:16px ; vertical-align:top ; text-align:center ;}
-table.grid { border-collapse:collapse ;}
-table.grid td { border:1px solid #bbb ; font-size:12px ;}
-body { font-family:arial ;}
-table.x { font-family:courier ; border-collapse:collapse ; padding:2px ;}
-table.x td { border:1px solid #bbb ;}
-td.tableTitle { font-weight:bold ; text-align:center ;}
-table.grid { border-collapse:collapse ;}
-table.grid td { border:1px solid #bbb ;}
-table.grid td.tableTitle { font-weight:bold ; text-align:center ;}
-table.mmap { border-collapse:collapse ; text-size:11px ; border:1px solid #d8d8d8 ;}
-table.mmap td { border-color:#d8d8d8 ; border-width:1px ; border-style:solid ;}
-table.mmap td.empty { border-style:none ; background-color:#f0f0f0 ;}
-table.mmap td.slavemodule { text-align:left ; font-size:11px ; border-style:solid solid none solid ;}
-table.mmap td.slavem { text-align:right ; font-size:9px ; font-style:italic ; border-style:none solid none solid ;}
-table.mmap td.slaveb { text-align:right ; font-size:9px ; font-style:italic ; border-style:none solid solid solid ;}
-table.mmap td.mastermodule { text-align:center ; font-size:11px ; border-style:solid solid none solid ;}
-table.mmap td.masterlr { text-align:center ; font-size:9px ; font-style:italic ; border-style:none solid solid solid ;}
-table.mmap td.masterl { text-align:center ; font-size:9px ; font-style:italic ; border-style:none none solid solid ;}
-table.mmap td.masterm { text-align:center ; font-size:9px ; font-style:italic ; border-style:none none solid none ;}
-table.mmap td.masterr { text-align:center ; font-size:9px ; font-style:italic ; border-style:none solid solid none ;}
-table.mmap td.addr { font-family:courier ; font-size:9px ; text-align:right ;}
-table.connectionboxes { border-collapse:separate ; border-spacing:0px ; font-family:arial ;}
-table.connectionboxes td.from { border-bottom:1px solid black ; font-size:9px ; font-style:italic ; vertical-align:bottom ; text-align:left ;}
-table.connectionboxes td.to { font-size:9px ; font-style:italic ; vertical-align:top ; text-align:right ;}
-table.connectionboxes td.lefthandwire { border-bottom:1px solid black ; font-size:9px ; font-style:italic ; vertical-align:bottom ; text-align:right ;}
-table.connectionboxes td.righthandwire { border-bottom:1px solid black ; font-size:9px ; font-style:italic ; vertical-align:bottom ; text-align:left ;}
-table.connectionboxes td.righthandlabel { font-size:11px ; vertical-align:bottom ; text-align:left ;}
-table.connectionboxes td.neighbor { padding:3px ; border:1px solid black ; font-size: 11px ; background:#e8e8e8 ; vertical-align:center ; text-align:center ;}
-table.connectionboxes td.main { padding:8px ; border:1px solid black ; font-size: 14px ; font-weight:bold ; background:#ffffff ; vertical-align:center ; text-align:center ;}
-.parametersbox { border:1px solid #d0d0d0 ; display:inline-block ; max-height:160px ; overflow:auto ; width:360px ; font-size:10px ;}
-.flowbox { display:inline-block ;}
-.parametersbox table { font-size:10px ;}
-td.parametername { font-style:italic ;}
-td.parametervalue { font-weight:bold ;}
-div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; border-top:1px solid #707070 ; border-bottom:1px solid #707070 ; padding:20px ; margin:20px ; width:auto ;}</style>
- </head>
- <body>
-  <table class="topTitle">
-   <tr>
-    <td class="l">ip_arria10_e1sg_jesd204b_rx_reset_seq</td>
-    <td class="r">
-     <br/>
-     <br/>
-    </td>
-   </tr>
-  </table>
-  <table class="blueBar">
-   <tr>
-    <td class="l">2020.11.26.17:19:53</td>
-    <td class="r">Datasheet</td>
-   </tr>
-  </table>
-  <div style="width:100% ;  height:10px"> </div>
-  <div class="label">Overview</div>
-  <div class="greydiv">
-   <div style="display:inline-block ; text-align:left">
-    <table class="connectionboxes">
-     <tr style="height:6px">
-      <td></td>
-     </tr>
-    </table>
-   </div><span style="display:inline-block ; width:28px"> </span>
-   <div style="display:inline-block ; text-align:left"><span>
-     <br/>All Components
-     <br/>&#160;&#160;
-     <a href="#module_reset_sequencer_0"><b>reset_sequencer_0</b>
-     </a> altera_reset_sequencer 19.1</span>
-   </div>
-  </div>
-  <div style="width:100% ;  height:10px"> </div>
-  <div class="label">Memory Map</div>
-  <table class="mmap">
-   <tr>
-    <td class="empty" rowspan="2"></td>
-   </tr>
-   <tr>
-    <td class="slavemodule">&#160;
-     <a href="#module_reset_sequencer_0"><b>reset_sequencer_0</b>
-     </a>
-    </td>
-   </tr>
-   <tr>
-    <td class="slaveb">av_csr&#160;</td>
-   </tr>
-  </table>
-  <a name="module_reset_sequencer_0"> </a>
-  <div>
-   <hr/>
-   <h2>reset_sequencer_0</h2>altera_reset_sequencer v19.1
-   <br/>
-   <br/>
-   <br/>
-   <table class="flowbox">
-    <tr>
-     <td class="parametersbox">
-      <h2>Parameters</h2>
-      <table>
-       <tr>
-        <td class="parametername">generateLegacySim</td>
-        <td class="parametervalue">false</td>
-       </tr>
-      </table>
-     </td>
-    </tr>
-   </table>&#160;&#160;
-   <table class="flowbox">
-    <tr>
-     <td class="parametersbox">
-      <h2>Software Assignments</h2>(none)</td>
-    </tr>
-   </table>
-  </div>
-  <table class="blueBar">
-   <tr>
-    <td class="l">generation took 0.00 seconds</td>
-    <td class="r">rendering took 0.00 seconds</td>
-   </tr>
-  </table>
- </body>
-</html>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.ppf b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.ppf
deleted file mode 100644
index 7e1d9164efa8c561d5e7426b02486227eba81699..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.ppf
+++ /dev/null
@@ -1,28 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<pinplan
- variation_name="reset_seq"
- megafunction_name="ALTERA_RESET_SEQUENCER"
- specifies="all_ports">
- <global>
-  <pin name="clk" direction="input" scope="external" />
-  <pin name="reset_in0" direction="input" scope="external" />
-  <pin name="reset_out0" direction="output" scope="external" />
-  <pin name="reset_out1" direction="output" scope="external" />
-  <pin name="reset_out2" direction="output" scope="external" />
-  <pin name="reset_out3" direction="output" scope="external" />
-  <pin name="reset_out4" direction="output" scope="external" />
-  <pin name="reset_out5" direction="output" scope="external" />
-  <pin name="reset_out6" direction="output" scope="external" />
-  <pin name="reset_out7" direction="output" scope="external" />
-  <pin name="reset1_dsrt_qual" direction="input" scope="external" />
-  <pin name="reset2_dsrt_qual" direction="input" scope="external" />
-  <pin name="reset5_dsrt_qual" direction="input" scope="external" />
-  <pin name="csr_reset" direction="input" scope="external" />
-  <pin name="av_address[7..0]" direction="input" scope="external" />
-  <pin name="av_readdata[31..0]" direction="output" scope="external" />
-  <pin name="av_read" direction="input" scope="external" />
-  <pin name="av_writedata[31..0]" direction="input" scope="external" />
-  <pin name="av_write" direction="input" scope="external" />
-  <pin name="irq" direction="output" scope="external" />
- </global>
-</pinplan>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.qgsimc b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.qgsimc
deleted file mode 100644
index 4358624f39cdc33b42769d99cf0d285ddbcaf275..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.qgsimc
+++ /dev/null
@@ -1,306 +0,0 @@
-<?xml version="1.0" ?>
-<node xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xs="http://www.w3.org/2001/XMLSchema" xmlns:altera="http://www.altera.com/XMLSchema/Qsys/SystemTree">
-  <instanceKey xsi:type="xs:string">ip_arria10_e1sg_jesd204b_rx_reset_seq</instanceKey>
-  <instanceData xsi:type="data">
-    <parameters></parameters>
-    <interconnectAssignments>
-      <interconnectAssignment>
-        <name>$system.qsys_mm.clockCrossingAdapter</name>
-        <value>HANDSHAKE</value>
-      </interconnectAssignment>
-      <interconnectAssignment>
-        <name>$system.qsys_mm.maxAdditionalLatency</name>
-        <value>0</value>
-      </interconnectAssignment>
-    </interconnectAssignments>
-    <className>ip_arria10_e1sg_jesd204b_rx_reset_seq</className>
-    <version>1.0</version>
-    <name>ip_arria10_e1sg_jesd204b_rx_reset_seq</name>
-    <uniqueName>ip_arria10_e1sg_jesd204b_rx_reset_seq</uniqueName>
-    <nonce>0</nonce>
-    <incidentConnections></incidentConnections>
-  </instanceData>
-  <children>
-    <node>
-      <instanceKey xsi:type="xs:string">reset_seq</instanceKey>
-      <instanceData xsi:type="data">
-        <parameters>
-          <parameter>
-            <name>ASRT_DELAY0</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_DELAY1</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_DELAY2</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_DELAY3</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_DELAY4</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_DELAY5</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_DELAY6</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_DELAY7</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_DELAY8</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_DELAY9</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP0</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP1</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP2</name>
-            <value>2</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP3</name>
-            <value>3</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP4</name>
-            <value>4</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP5</name>
-            <value>5</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP6</name>
-            <value>6</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP7</name>
-            <value>7</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP8</name>
-            <value>8</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_REMAP9</name>
-            <value>9</value>
-          </parameter>
-          <parameter>
-            <name>ASRT_SEQ_MSG</name>
-            <value>SEQUENCE DISABLED (All Delays are 0)</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY0</name>
-            <value>2</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY1</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY2</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY3</name>
-            <value>20</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY4</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY5</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY6</name>
-            <value>20</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY7</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY8</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_DELAY9</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_0</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_1</name>
-            <value>2</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_2</name>
-            <value>2</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_3</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_4</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_5</name>
-            <value>2</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_6</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_7</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_8</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_QUALCNT_9</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP0</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP1</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP2</name>
-            <value>2</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP3</name>
-            <value>3</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP4</name>
-            <value>4</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP5</name>
-            <value>5</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP6</name>
-            <value>6</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP7</name>
-            <value>7</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP8</name>
-            <value>8</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_REMAP9</name>
-            <value>9</value>
-          </parameter>
-          <parameter>
-            <name>DSRT_SEQ_MSG</name>
-            <value><![CDATA[reset_in_deasserted-> #2-> reset_out0 ->wait_dqual1-> reset_out1 ->wait_dqual2-> reset_out2 -> #20-> reset_out3 + reset_out4 ->wait_dqual5-> reset_out5 -> #20-> reset_out6 + reset_out7]]></value>
-          </parameter>
-          <parameter>
-            <name>ENABLE_ASSERTION_SEQUENCE</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>ENABLE_CSR</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>ENABLE_DEASSERTION_INPUT_QUAL</name>
-            <value>38</value>
-          </parameter>
-          <parameter>
-            <name>ENABLE_DEASSERTION_SEQUENCE</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>ENABLE_RESET_REQUEST_INPUT</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>LIST_ASRT_DELAY</name>
-            <value>0,0,0,0,0,0,0,0,0,0</value>
-          </parameter>
-          <parameter>
-            <name>LIST_ASRT_SEQ</name>
-            <value>0,1,2,3,4,5,6,7,8,9</value>
-          </parameter>
-          <parameter>
-            <name>LIST_DSRT_DELAY</name>
-            <value>2,2,2,20,0,2,20,0,0,0</value>
-          </parameter>
-          <parameter>
-            <name>LIST_DSRT_SEQ</name>
-            <value>0,1,2,3,4,5,6,7,8,9</value>
-          </parameter>
-          <parameter>
-            <name>MIN_ASRT_TIME</name>
-            <value>20</value>
-          </parameter>
-          <parameter>
-            <name>NUM_INPUTS</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>NUM_OUTPUTS</name>
-            <value>8</value>
-          </parameter>
-          <parameter>
-            <name>RESET_OUT_NAME</name>
-            <value>reset_out0,reset_out1,reset_out2,reset_out3,reset_out4,reset_out5,reset_out6,reset_out7</value>
-          </parameter>
-          <parameter>
-            <name>USE_DSRT_QUAL</name>
-            <value>0,1,1,0,0,1,0,0,0,0</value>
-          </parameter>
-        </parameters>
-        <interconnectAssignments></interconnectAssignments>
-        <className>altera_reset_sequencer</className>
-        <version>18.0</version>
-        <name>reset_seq</name>
-        <uniqueName>altera_reset_sequencer</uniqueName>
-        <fixedName>altera_reset_sequencer</fixedName>
-        <nonce>0</nonce>
-        <incidentConnections></incidentConnections>
-        <path>ip_arria10_e1sg_jesd204b_rx_reset_seq.reset_seq</path>
-      </instanceData>
-      <children></children>
-    </node>
-  </children>
-</node>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.qgsynthc b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.qgsynthc
deleted file mode 100644
index 0f5b261b231d21af3c24f69f9099935d49f47dbf..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.qgsynthc
+++ /dev/null
@@ -1,1650 +0,0 @@
-<?xml version="1.0" ?>
-<node xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xs="http://www.w3.org/2001/XMLSchema" xmlns:altera="http://www.altera.com/XMLSchema/Qsys/SystemTree">
-  <instanceKey xsi:type="xs:string">ip_arria10_e1sg_jesd204b_rx_reset_seq</instanceKey>
-  <instanceData xsi:type="data">
-    <parameters></parameters>
-    <interconnectAssignments></interconnectAssignments>
-    <className>ip_arria10_e1sg_jesd204b_rx_reset_seq</className>
-    <version>1.0</version>
-    <name>ip_arria10_e1sg_jesd204b_rx_reset_seq</name>
-    <uniqueName>ip_arria10_e1sg_jesd204b_rx_reset_seq</uniqueName>
-    <nonce>0</nonce>
-    <incidentConnections></incidentConnections>
-  </instanceData>
-  <children>
-    <node>
-      <instanceKey xsi:type="xs:string">reset_sequencer_0</instanceKey>
-      <instanceData xsi:type="data">
-        <parameters>
-          <parameter>
-            <name>componentDefinition</name>
-            <value>&lt;componentDefinition&gt;
-    &lt;boundary&gt;
-        &lt;interfaces&gt;
-            &lt;interface&gt;
-                &lt;name&gt;av_csr&lt;/name&gt;
-                &lt;type&gt;avalon&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_address&lt;/name&gt;
-                        &lt;role&gt;address&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;8&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_readdata&lt;/name&gt;
-                        &lt;role&gt;readdata&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;32&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_read&lt;/name&gt;
-                        &lt;role&gt;read&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_writedata&lt;/name&gt;
-                        &lt;role&gt;writedata&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;32&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_write&lt;/name&gt;
-                        &lt;role&gt;write&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;addressAlignment&lt;/key&gt;
-                            &lt;value&gt;DYNAMIC&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;addressGroup&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;addressSpan&lt;/key&gt;
-                            &lt;value&gt;256&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;addressUnits&lt;/key&gt;
-                            &lt;value&gt;SYMBOLS&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                            &lt;value&gt;csr_reset&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                            &lt;value&gt;8&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;burstcountUnits&lt;/key&gt;
-                            &lt;value&gt;SYMBOLS&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;holdTime&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;interleaveBursts&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;isBigEndian&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;isFlash&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;linewrapBursts&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                            &lt;value&gt;1&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                            &lt;value&gt;1&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                            &lt;value&gt;1&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;printableDevice&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;readLatency&lt;/key&gt;
-                            &lt;value&gt;2&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;readWaitStates&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;readWaitTime&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;setupTime&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;timingUnits&lt;/key&gt;
-                            &lt;value&gt;Cycles&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;transparentBridge&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;writeLatency&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;writeWaitStates&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;writeWaitTime&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;av_csr_irq&lt;/name&gt;
-                &lt;type&gt;interrupt&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;irq&lt;/name&gt;
-                        &lt;role&gt;irq&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedAddressablePoint&lt;/key&gt;
-                            &lt;value&gt;reset_sequencer_0.av_csr&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                            &lt;value&gt;csr_reset&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bridgedReceiverOffset&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bridgesToReceiver&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;irqScheme&lt;/key&gt;
-                            &lt;value&gt;NONE&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;clk&lt;/name&gt;
-                &lt;type&gt;clock&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;clk&lt;/name&gt;
-                        &lt;role&gt;clk&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;clockRate&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;externallyDriven&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;csr_reset&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;csr_reset&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;NONE&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-                        &lt;role&gt;reset1_dsrt_qual&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-                        &lt;role&gt;reset2_dsrt_qual&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-                        &lt;role&gt;reset5_dsrt_qual&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_in0&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_in0&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;NONE&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out0&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out0&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out1&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out1&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out2&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out2&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out3&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out3&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out4&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out4&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out5&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out5&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out6&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out6&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out7&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out7&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-        &lt;/interfaces&gt;
-    &lt;/boundary&gt;
-    &lt;originalModuleInfo&gt;
-        &lt;className&gt;altera_reset_sequencer&lt;/className&gt;
-        &lt;version&gt;19.1&lt;/version&gt;
-        &lt;displayName&gt;Reset Sequencer Intel FPGA IP&lt;/displayName&gt;
-    &lt;/originalModuleInfo&gt;
-    &lt;systemInfoParameterDescriptors&gt;
-        &lt;descriptors/&gt;
-    &lt;/systemInfoParameterDescriptors&gt;
-    &lt;systemInfos&gt;
-        &lt;connPtSystemInfos&gt;
-            &lt;entry&gt;
-                &lt;key&gt;av_csr&lt;/key&gt;
-                &lt;value&gt;
-                    &lt;connectionPointName&gt;av_csr&lt;/connectionPointName&gt;
-                    &lt;suppliedSystemInfos&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                            &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='av_csr' start='0x0' end='0x100' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                            &lt;value&gt;8&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                            &lt;value&gt;32&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/suppliedSystemInfos&gt;
-                    &lt;consumedSystemInfos/&gt;
-                &lt;/value&gt;
-            &lt;/entry&gt;
-        &lt;/connPtSystemInfos&gt;
-    &lt;/systemInfos&gt;
-&lt;/componentDefinition&gt;</value>
-          </parameter>
-          <parameter>
-            <name>defaultBoundary</name>
-            <value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;av_csr&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;256&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;SYMBOLS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;csr_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;SYMBOLS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;2&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;av_csr_irq&lt;/name&gt;
-            &lt;type&gt;interrupt&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;irq&lt;/name&gt;
-                    &lt;role&gt;irq&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedAddressablePoint&lt;/key&gt;
-                        &lt;value&gt;reset_sequencer_0.av_csr&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;csr_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedReceiverOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToReceiver&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;irqScheme&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-                    &lt;role&gt;reset1_dsrt_qual&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-                    &lt;role&gt;reset2_dsrt_qual&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-                    &lt;role&gt;reset5_dsrt_qual&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_in0&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_in0&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out0&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out0&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out1&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out1&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out2&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out2&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out3&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out3&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out4&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out4&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out5&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out5&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out6&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out6&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out7&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out7&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</value>
-          </parameter>
-          <parameter>
-            <name>generationInfoDefinition</name>
-            <value>&lt;generationInfoDefinition&gt;
-    &lt;hdlLibraryName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/hdlLibraryName&gt;
-    &lt;fileSets&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;QUARTUS_SYNTH&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;SIM_VERILOG&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;SIM_VHDL&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-    &lt;/fileSets&gt;
-&lt;/generationInfoDefinition&gt;</value>
-          </parameter>
-          <parameter>
-            <name>hlsFile</name>
-            <value></value>
-          </parameter>
-          <parameter>
-            <name>logicalView</name>
-            <value>ip_arria10_e1sg_jesd204b_rx_reset_seq.ip</value>
-          </parameter>
-          <parameter>
-            <name>moduleAssignmentDefinition</name>
-            <value>&lt;assignmentDefinition&gt;
-    &lt;assignmentValueMap/&gt;
-&lt;/assignmentDefinition&gt;</value>
-          </parameter>
-          <parameter>
-            <name>svInterfaceDefinition</name>
-            <value></value>
-          </parameter>
-        </parameters>
-        <interconnectAssignments></interconnectAssignments>
-        <className>altera_generic_component</className>
-        <version>1.0</version>
-        <name>reset_sequencer_0</name>
-        <uniqueName>ip_arria10_e1sg_jesd204b_rx_reset_seq</uniqueName>
-        <fixedName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fixedName>
-        <nonce>0</nonce>
-        <incidentConnections></incidentConnections>
-        <path>ip_arria10_e1sg_jesd204b_rx_reset_seq.reset_sequencer_0</path>
-      </instanceData>
-      <children></children>
-    </node>
-  </children>
-</node>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.qip b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.qip
deleted file mode 100644
index d2965d4ad26a3f58aa6fb8058a1fa61e5788de57..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.qip
+++ /dev/null
@@ -1,44 +0,0 @@
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_TOOL_NAME "QsysPrimePro"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_TOOL_VERSION "19.4"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_TOOL_ENV "QsysPrimePro"
-set_global_assignment -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name SOPCINFO_FILE [file join $::quartus(qip_path) "ip_arria10_e1sg_jesd204b_rx_reset_seq.sopcinfo"]
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name SLD_INFO "QSYS_NAME ip_arria10_e1sg_jesd204b_rx_reset_seq HAS_SOPCINFO 1 GENERATION_ID 0"
-set_global_assignment -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name MISC_FILE [file join $::quartus(qip_path) "ip_arria10_e1sg_jesd204b_rx_reset_seq.cmp"]
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_TARGETED_DEVICE_FAMILY "Arria 10"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_GENERATED_DEVICE_FAMILY "{Arria 10}"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_QSYS_MODE "SYSTEM"
-set_global_assignment -name SYNTHESIS_ONLY_QIP ON
-set_global_assignment -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name MISC_FILE [file join $::quartus(qip_path) "../ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys"]
-
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_NAME "aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3Jlc2V0X3NlcQ=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_DISPLAY_NAME "R2VuZXJpYyBDb21wb25lbnQ="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_INTERNAL "Off"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_VERSION "MS4w"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_DESCRIPTION "QSBkeW5hbWljIGNvbXBvbmVudCB3aGVyZSB5b3UgY2FuIGFkZCwgbW9kaWZ5IG9yIHJlbW92ZSBpbnRlcmZhY2VzIGFuZCBwb3J0cyBvbiB0aGUgZmx5"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "Y29tcG9uZW50RGVmaW5pdGlvbg==::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::Q29tcG9uZW50IGRlZmluaXRpb24="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGlvbkluZm9EZWZpbml0aW9u::PGdlbmVyYXRpb25JbmZvRGVmaW5pdGlvbj4KICAgIDxoZGxMaWJyYXJ5TmFtZT5pcF9hcnJpYTEwX2Uxc2dfamVzZDIwNGJfcnhfcmVzZXRfc2VxPC9oZGxMaWJyYXJ5TmFtZT4KICAgIDxmaWxlU2V0cz4KICAgICAgICA8ZmlsZVNldD4KICAgICAgICAgICAgPGZpbGVTZXROYW1lPmlwX2FycmlhMTBfZTFzZ19qZXNkMjA0Yl9yeF9yZXNldF9zZXE8L2ZpbGVTZXROYW1lPgogICAgICAgICAgICA8ZmlsZVNldEZpeGVkTmFtZT5pcF9hcnJpYTEwX2Uxc2dfamVzZDIwNGJfcnhfcmVzZXRfc2VxPC9maWxlU2V0Rml4ZWROYW1lPgogICAgICAgICAgICA8ZmlsZVNldEtpbmQ+UVVBUlRVU19TWU5USDwvZmlsZVNldEtpbmQ+CiAgICAgICAgICAgIDxmaWxlU2V0RmlsZXMvPgogICAgICAgIDwvZmlsZVNldD4KICAgICAgICA8ZmlsZVNldD4KICAgICAgICAgICAgPGZpbGVTZXROYW1lPmlwX2FycmlhMTBfZTFzZ19qZXNkMjA0Yl9yeF9yZXNldF9zZXE8L2ZpbGVTZXROYW1lPgogICAgICAgICAgICA8ZmlsZVNldEZpeGVkTmFtZT5pcF9hcnJpYTEwX2Uxc2dfamVzZDIwNGJfcnhfcmVzZXRfc2VxPC9maWxlU2V0Rml4ZWROYW1lPgogICAgICAgICAgICA8ZmlsZVNldEtpbmQ+U0lNX1ZFUklMT0c8L2ZpbGVTZXRLaW5kPgogICAgICAgICAgICA8ZmlsZVNldEZpbGVzLz4KICAgICAgICA8L2ZpbGVTZXQ+CiAgICAgICAgPGZpbGVTZXQ+CiAgICAgICAgICAgIDxmaWxlU2V0TmFtZT5pcF9hcnJpYTEwX2Uxc2dfamVzZDIwNGJfcnhfcmVzZXRfc2VxPC9maWxlU2V0TmFtZT4KICAgICAgICAgICAgPGZpbGVTZXRGaXhlZE5hbWU+aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3Jlc2V0X3NlcTwvZmlsZVNldEZpeGVkTmFtZT4KICAgICAgICAgICAgPGZpbGVTZXRLaW5kPlNJTV9WSERMPC9maWxlU2V0S2luZD4KICAgICAgICAgICAgPGZpbGVTZXRGaWxlcy8+CiAgICAgICAgPC9maWxlU2V0PgogICAgPC9maWxlU2V0cz4KPC9nZW5lcmF0aW9uSW5mb0RlZmluaXRpb24+::R2VuZXJhdGlvbiBCZWhhdmlvcg=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "bG9naWNhbFZpZXc=::aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3Jlc2V0X3NlcS5pcA==::TG9naWNhbCB2aWV3"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "ZGVmYXVsdEJvdW5kYXJ5::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::RGVmYXVsdCBib3VuZGFyeQ=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "bW9kdWxlQXNzaWdubWVudERlZmluaXRpb24=::PGFzc2lnbm1lbnREZWZpbml0aW9uPgogICAgPGFzc2lnbm1lbnRWYWx1ZU1hcC8+CjwvYXNzaWdubWVudERlZmluaXRpb24+::TW9kdWxlIEFzc2lnbm1lbnRz"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBBWDExNVUyRjQ1RTFTRw==::QXV0byBERVZJQ0U="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_GROUP "R2VuZXJpYyBDb21wb25lbnQ="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_NAME "aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3Jlc2V0X3NlcQ=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_DISPLAY_NAME "aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3Jlc2V0X3NlcQ=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_REPORT_HIERARCHY "On"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_INTERNAL "Off"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_VERSION "MS4w"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MA==::QXV0byBHRU5FUkFUSU9OX0lE"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBBWDExNVUyRjQ1RTFTRw==::QXV0byBERVZJQ0U="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::MQ==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::LTE=::QXV0byBDTE9DS19ET01BSU4="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::LTE=::QXV0byBSRVNFVF9ET01BSU4="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_reset_seq" -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name IP_COMPONENT_GROUP "U3lzdGVt"
-
-
-set_global_assignment -library "ip_arria10_e1sg_jesd204b_rx_reset_seq" -name VHDL_FILE [file join $::quartus(qip_path) "synth/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd"]
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.sopcinfo b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.sopcinfo
deleted file mode 100644
index 80c6f5e7f015b2d35acbdbce2bce4b6b013ce904..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.sopcinfo
+++ /dev/null
@@ -1,3107 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<EnsembleReport
- name="ip_arria10_e1sg_jesd204b_rx_reset_seq"
- kind="ip_arria10_e1sg_jesd204b_rx_reset_seq"
- version="1.0"
- fabric="QSYS">
- <!-- Format version 19.4 64 (Future versions may contain additional information.) -->
- <!-- 2020.11.26.17:19:53 -->
- <!-- A collection of modules and connections -->
- <parameter name="AUTO_GENERATION_ID">
-  <type>java.lang.Integer</type>
-  <value>0</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>GENERATION_ID</sysinfo_type>
- </parameter>
- <parameter name="AUTO_UNIQUE_ID">
-  <type>java.lang.String</type>
-  <value></value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>UNIQUE_ID</sysinfo_type>
- </parameter>
- <parameter name="AUTO_DEVICE_FAMILY">
-  <type>java.lang.String</type>
-  <value>ARRIA10</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
- </parameter>
- <parameter name="AUTO_DEVICE">
-  <type>java.lang.String</type>
-  <value>10AX115U2F45E1SG</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>DEVICE</sysinfo_type>
- </parameter>
- <parameter name="AUTO_DEVICE_SPEEDGRADE">
-  <type>java.lang.String</type>
-  <value>1</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>DEVICE_SPEEDGRADE</sysinfo_type>
- </parameter>
- <parameter name="AUTO_CLK_CLOCK_RATE">
-  <type>java.lang.Long</type>
-  <value>-1</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>CLOCK_RATE</sysinfo_type>
-  <sysinfo_arg>clk</sysinfo_arg>
- </parameter>
- <parameter name="AUTO_CLK_CLOCK_DOMAIN">
-  <type>java.lang.Integer</type>
-  <value>-1</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>CLOCK_DOMAIN</sysinfo_type>
-  <sysinfo_arg>clk</sysinfo_arg>
- </parameter>
- <parameter name="AUTO_CLK_RESET_DOMAIN">
-  <type>java.lang.Integer</type>
-  <value>-1</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>RESET_DOMAIN</sysinfo_type>
-  <sysinfo_arg>clk</sysinfo_arg>
- </parameter>
- <parameter name="deviceFamily">
-  <type>java.lang.String</type>
-  <value>Arria 10</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
- </parameter>
- <parameter name="generateLegacySim">
-  <type>boolean</type>
-  <value>false</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>true</visible>
-  <valid>true</valid>
- </parameter>
- <module
-   name="reset_sequencer_0"
-   kind="altera_reset_sequencer"
-   version="19.1"
-   entity="ip_arria10_e1sg_jesd204b_rx_reset_seq"
-   library="ip_arria10_e1sg_jesd204b_rx_reset_seq"
-   path="reset_sequencer_0"
-   hpath="reset_sequencer_0">
-  <!-- Describes a single module. Module parameters are
-the requested settings for a module instance. -->
-  <parameter name="componentDefinition">
-   <type>com.altera.qsys.blackboxmodule.definitions.ComponentDefinition</type>
-   <value><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>av_csr</name>
-                <type>avalon</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>av_address</name>
-                        <role>address</role>
-                        <direction>Input</direction>
-                        <width>8</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>av_readdata</name>
-                        <role>readdata</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>av_read</name>
-                        <role>read</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                    <port>
-                        <name>av_writedata</name>
-                        <role>writedata</role>
-                        <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                    <port>
-                        <name>av_write</name>
-                        <role>write</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>embeddedsw.configuration.isFlash</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isMemoryDevice</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isPrintableDevice</key>
-                            <value>0</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>addressAlignment</key>
-                            <value>DYNAMIC</value>
-                        </entry>
-                        <entry>
-                            <key>addressGroup</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>addressSpan</key>
-                            <value>256</value>
-                        </entry>
-                        <entry>
-                            <key>addressUnits</key>
-                            <value>SYMBOLS</value>
-                        </entry>
-                        <entry>
-                            <key>alwaysBurstMaxBurst</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>csr_reset</value>
-                        </entry>
-                        <entry>
-                            <key>bitsPerSymbol</key>
-                            <value>8</value>
-                        </entry>
-                        <entry>
-                            <key>bridgedAddressOffset</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>bridgesToMaster</key>
-                        </entry>
-                        <entry>
-                            <key>burstOnBurstBoundariesOnly</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>burstcountUnits</key>
-                            <value>SYMBOLS</value>
-                        </entry>
-                        <entry>
-                            <key>constantBurstBehavior</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>explicitAddressSpan</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>holdTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>interleaveBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isBigEndian</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isFlash</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isMemoryDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isNonVolatileStorage</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>linewrapBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingReadTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingWriteTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>minimumReadLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumResponseLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumUninterruptedRunLength</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>printableDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>readLatency</key>
-                            <value>2</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitStates</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>registerIncomingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>registerOutgoingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>setupTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>timingUnits</key>
-                            <value>Cycles</value>
-                        </entry>
-                        <entry>
-                            <key>transparentBridge</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>waitrequestAllowance</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>wellBehavedWaitrequest</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>writeLatency</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitStates</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitTime</key>
-                            <value>0</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>av_csr_irq</name>
-                <type>interrupt</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>irq</name>
-                        <role>irq</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedAddressablePoint</key>
-                            <value>reset_sequencer_0.av_csr</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>csr_reset</value>
-                        </entry>
-                        <entry>
-                            <key>bridgedReceiverOffset</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>bridgesToReceiver</key>
-                        </entry>
-                        <entry>
-                            <key>irqScheme</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>csr_reset</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>csr_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset1_dsrt_qual</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset1_dsrt_qual</name>
-                        <role>reset1_dsrt_qual</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset2_dsrt_qual</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset2_dsrt_qual</name>
-                        <role>reset2_dsrt_qual</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset5_dsrt_qual</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset5_dsrt_qual</name>
-                        <role>reset5_dsrt_qual</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_in0</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset_in0</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out0</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out0</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out1</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out1</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out2</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out2</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out3</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out3</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out4</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out4</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out5</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out5</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out6</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out6</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset_out7</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>reset_out7</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>reset_in0</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>BOTH</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_reset_sequencer</className>
-        <version>19.1</version>
-        <displayName>Reset Sequencer Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors/>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>av_csr</key>
-                <value>
-                    <connectionPointName>av_csr</connectionPointName>
-                    <suppliedSystemInfos>
-                        <entry>
-                            <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='av_csr' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
-                        </entry>
-                        <entry>
-                            <key>ADDRESS_WIDTH</key>
-                            <value>8</value>
-                        </entry>
-                        <entry>
-                            <key>MAX_SLAVE_DATA_WIDTH</key>
-                            <value>32</value>
-                        </entry>
-                    </suppliedSystemInfos>
-                    <consumedSystemInfos/>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="generationInfoDefinition">
-   <type>com.altera.qsys.blackboxmodule.definitions.GenerationInfoDefinition</type>
-   <value><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>ip_arria10_e1sg_jesd204b_rx_reset_seq</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_reset_seq</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="hlsFile">
-   <type>java.lang.String</type>
-   <value></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="logicalView">
-   <type>java.lang.String</type>
-   <value>ip_arria10_e1sg_jesd204b_rx_reset_seq.ip</value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="defaultBoundary">
-   <type>com.altera.sopcmodel.definition.BoundaryDefinition</type>
-   <value><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>av_csr</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>av_address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>8</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>av_readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>av_read</name>
-                    <role>read</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-                <port>
-                    <name>av_writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-                <port>
-                    <name>av_write</name>
-                    <role>write</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>DYNAMIC</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>256</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>SYMBOLS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>csr_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>SYMBOLS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>2</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>av_csr_irq</name>
-            <type>interrupt</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>irq</name>
-                    <role>irq</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedAddressablePoint</key>
-                        <value>reset_sequencer_0.av_csr</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>csr_reset</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedReceiverOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToReceiver</key>
-                    </entry>
-                    <entry>
-                        <key>irqScheme</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>csr_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>csr_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset1_dsrt_qual</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset1_dsrt_qual</name>
-                    <role>reset1_dsrt_qual</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset2_dsrt_qual</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset2_dsrt_qual</name>
-                    <role>reset2_dsrt_qual</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset5_dsrt_qual</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset5_dsrt_qual</name>
-                    <role>reset5_dsrt_qual</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_in0</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset_in0</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out0</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out0</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out1</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out1</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out2</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out2</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out3</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out3</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out4</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out4</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out5</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out5</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out6</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out6</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset_out7</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>reset_out7</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>reset_in0</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>BOTH</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="moduleAssignmentDefinition">
-   <type>com.altera.sopcmodel.definition.AssignmentDefinition</type>
-   <value><![CDATA[<assignmentDefinition>
-    <assignmentValueMap/>
-</assignmentDefinition>]]></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="svInterfaceDefinition">
-   <type>com.altera.qsys.blackboxmodule.definitions.ModuleSvInterfaceDefinition</type>
-   <value></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="AUTO_DEVICE_FAMILY">
-   <type>java.lang.String</type>
-   <value>ARRIA10</value>
-   <derived>true</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
-  </parameter>
-  <parameter name="AUTO_DEVICE">
-   <type>java.lang.String</type>
-   <value>10AX115U2F45E1SG</value>
-   <derived>true</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-   <sysinfo_type>DEVICE</sysinfo_type>
-  </parameter>
-  <parameter name="deviceFamily">
-   <type>java.lang.String</type>
-   <value>Arria 10</value>
-   <derived>true</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
-  </parameter>
-  <parameter name="generateLegacySim">
-   <type>boolean</type>
-   <value>false</value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>true</visible>
-   <valid>true</valid>
-  </parameter>
-  <interface name="av_csr" kind="avalon_slave" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <assignment>
-    <name>embeddedsw.configuration.isFlash</name>
-    <value>0</value>
-   </assignment>
-   <assignment>
-    <name>embeddedsw.configuration.isMemoryDevice</name>
-    <value>0</value>
-   </assignment>
-   <assignment>
-    <name>embeddedsw.configuration.isNonVolatileStorage</name>
-    <value>0</value>
-   </assignment>
-   <assignment>
-    <name>embeddedsw.configuration.isPrintableDevice</name>
-    <value>0</value>
-   </assignment>
-   <parameter name="addressAlignment">
-    <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
-    <value>DYNAMIC</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="addressGroup">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="addressSpan">
-    <type>java.math.BigInteger</type>
-    <value>256</value>
-    <derived>true</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="addressUnits">
-    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
-    <value>SYMBOLS</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="alwaysBurstMaxBurst">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value>csr_reset</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="bitsPerSymbol">
-    <type>int</type>
-    <value>8</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="bridgedAddressOffset">
-    <type>java.math.BigInteger</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="bridgesToMaster">
-    <type>com.altera.entityinterfaces.IConnectionPoint</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="burstOnBurstBoundariesOnly">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="burstcountUnits">
-    <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
-    <value>SYMBOLS</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="constantBurstBehavior">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="explicitAddressSpan">
-    <type>java.math.BigInteger</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="holdTime">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="interleaveBursts">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="isBigEndian">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="isFlash">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="isMemoryDevice">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="isNonVolatileStorage">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="linewrapBursts">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="maximumPendingReadTransactions">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>false</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="maximumPendingWriteTransactions">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>false</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="minimumReadLatency">
-    <type>int</type>
-    <value>1</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="minimumResponseLatency">
-    <type>int</type>
-    <value>1</value>
-    <derived>false</derived>
-    <enabled>false</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="minimumUninterruptedRunLength">
-    <type>int</type>
-    <value>1</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="prSafe">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="printableDevice">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="readLatency">
-    <type>int</type>
-    <value>2</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="readWaitStates">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="readWaitTime">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="registerIncomingSignals">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="registerOutgoingSignals">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="setupTime">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="timingUnits">
-    <type>com.altera.sopcmodel.avalon.TimingUnits</type>
-    <value>Cycles</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="transparentBridge">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="waitrequestAllowance">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>false</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="wellBehavedWaitrequest">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="writeLatency">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="writeWaitStates">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="writeWaitTime">
-    <type>int</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>avalon</type>
-   <isStart>false</isStart>
-   <port>
-    <name>av_address</name>
-    <direction>Input</direction>
-    <width>8</width>
-    <role>address</role>
-   </port>
-   <port>
-    <name>av_readdata</name>
-    <direction>Output</direction>
-    <width>32</width>
-    <role>readdata</role>
-   </port>
-   <port>
-    <name>av_read</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>read</role>
-   </port>
-   <port>
-    <name>av_writedata</name>
-    <direction>Input</direction>
-    <width>32</width>
-    <role>writedata</role>
-   </port>
-   <port>
-    <name>av_write</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>write</role>
-   </port>
-  </interface>
-  <interface name="av_csr_irq" kind="interrupt_sender" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedAddressablePoint">
-    <type>com.altera.entityinterfaces.IConnectionPoint</type>
-    <value>reset_sequencer_0.av_csr</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value>csr_reset</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="bridgedReceiverOffset">
-    <type>java.lang.Integer</type>
-    <value>0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="bridgesToReceiver">
-    <type>com.altera.entityinterfaces.IConnectionPoint</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="irqScheme">
-    <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
-    <value>NONE</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>interrupt</type>
-   <isStart>false</isStart>
-   <port>
-    <name>irq</name>
-    <direction>Output</direction>
-    <width>1</width>
-    <role>irq</role>
-   </port>
-  </interface>
-  <interface name="clk" kind="clock_sink" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="externallyDriven">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="ptfSchematicName">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>clock</type>
-   <isStart>false</isStart>
-   <port>
-    <name>clk</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>clk</role>
-   </port>
-  </interface>
-  <interface name="csr_reset" kind="reset_sink" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>NONE</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>false</isStart>
-   <port>
-    <name>csr_reset</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="reset1_dsrt_qual" kind="conduit_end" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="prSafe">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>conduit</type>
-   <isStart>false</isStart>
-   <port>
-    <name>reset1_dsrt_qual</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>reset1_dsrt_qual</role>
-   </port>
-  </interface>
-  <interface name="reset2_dsrt_qual" kind="conduit_end" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="prSafe">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>conduit</type>
-   <isStart>false</isStart>
-   <port>
-    <name>reset2_dsrt_qual</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>reset2_dsrt_qual</role>
-   </port>
-  </interface>
-  <interface name="reset5_dsrt_qual" kind="conduit_end" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="prSafe">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>conduit</type>
-   <isStart>false</isStart>
-   <port>
-    <name>reset5_dsrt_qual</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>reset5_dsrt_qual</role>
-   </port>
-  </interface>
-  <interface name="reset_in0" kind="reset_sink" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>NONE</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>false</isStart>
-   <port>
-    <name>reset_in0</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="reset_out0" kind="reset_source" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedDirectReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedResetSinks">
-    <type>[Ljava.lang.String;</type>
-    <value>reset_in0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>BOTH</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>true</isStart>
-   <port>
-    <name>reset_out0</name>
-    <direction>Output</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="reset_out1" kind="reset_source" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedDirectReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedResetSinks">
-    <type>[Ljava.lang.String;</type>
-    <value>reset_in0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>BOTH</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>true</isStart>
-   <port>
-    <name>reset_out1</name>
-    <direction>Output</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="reset_out2" kind="reset_source" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedDirectReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedResetSinks">
-    <type>[Ljava.lang.String;</type>
-    <value>reset_in0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>BOTH</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>true</isStart>
-   <port>
-    <name>reset_out2</name>
-    <direction>Output</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="reset_out3" kind="reset_source" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedDirectReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedResetSinks">
-    <type>[Ljava.lang.String;</type>
-    <value>reset_in0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>BOTH</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>true</isStart>
-   <port>
-    <name>reset_out3</name>
-    <direction>Output</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="reset_out4" kind="reset_source" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedDirectReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedResetSinks">
-    <type>[Ljava.lang.String;</type>
-    <value>reset_in0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>BOTH</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>true</isStart>
-   <port>
-    <name>reset_out4</name>
-    <direction>Output</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="reset_out5" kind="reset_source" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedDirectReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedResetSinks">
-    <type>[Ljava.lang.String;</type>
-    <value>reset_in0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>BOTH</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>true</isStart>
-   <port>
-    <name>reset_out5</name>
-    <direction>Output</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="reset_out6" kind="reset_source" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedDirectReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedResetSinks">
-    <type>[Ljava.lang.String;</type>
-    <value>reset_in0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>BOTH</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>true</isStart>
-   <port>
-    <name>reset_out6</name>
-    <direction>Output</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="reset_out7" kind="reset_source" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value>clk</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedDirectReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedResetSinks">
-    <type>[Ljava.lang.String;</type>
-    <value>reset_in0</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>BOTH</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>true</isStart>
-   <port>
-    <name>reset_out7</name>
-    <direction>Output</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
- </module>
- <plugin>
-  <instanceCount>1</instanceCount>
-  <name>altera_generic_component</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype></subtype>
-  <displayName>Generic Component</displayName>
-  <version>1.0</version>
- </plugin>
- <plugin>
-  <instanceCount>1</instanceCount>
-  <name>avalon_slave</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
-  <displayName>Avalon Memory Mapped Slave</displayName>
-  <version>19.4</version>
- </plugin>
- <plugin>
-  <instanceCount>1</instanceCount>
-  <name>interrupt_sender</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
-  <displayName>Interrupt Sender</displayName>
-  <version>19.4</version>
- </plugin>
- <plugin>
-  <instanceCount>1</instanceCount>
-  <name>clock_sink</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
-  <displayName>Clock Input</displayName>
-  <version>19.4</version>
- </plugin>
- <plugin>
-  <instanceCount>2</instanceCount>
-  <name>reset_sink</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
-  <displayName>Reset Input</displayName>
-  <version>19.4</version>
- </plugin>
- <plugin>
-  <instanceCount>3</instanceCount>
-  <name>conduit_end</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
-  <displayName>Conduit</displayName>
-  <version>19.4</version>
- </plugin>
- <plugin>
-  <instanceCount>8</instanceCount>
-  <name>reset_source</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
-  <displayName>Reset Output</displayName>
-  <version>19.4</version>
- </plugin>
- <reportVersion>19.4 64</reportVersion>
- <uniqueIdentifier></uniqueIdentifier>
-</EnsembleReport>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.spd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.spd
deleted file mode 100644
index 4bb2ce652be5164e0d01824ebe468dc5f975a2c5..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.spd
+++ /dev/null
@@ -1,191 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<simPackage>
- <file
-   path="altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="modelsim" />
- <file
-   path="altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_main.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="modelsim" />
- <file
-   path="altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_seq.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="modelsim" />
- <file
-   path="altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="modelsim" />
- <file
-   path="altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch_main.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="modelsim" />
- <file
-   path="altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_dlycntr.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="modelsim" />
- <file
-   path="altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_av_csr.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="modelsim" />
- <file
-   path="altera_reset_sequencer_180/sim/mentor/altera_reset_controller.v"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="modelsim" />
- <file
-   path="altera_reset_sequencer_180/sim/mentor/altera_reset_synchronizer.v"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="modelsim" />
- <file
-   path="altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="riviera" />
- <file
-   path="altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_main.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="riviera" />
- <file
-   path="altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_seq.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="riviera" />
- <file
-   path="altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="riviera" />
- <file
-   path="altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch_main.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="riviera" />
- <file
-   path="altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_dlycntr.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="riviera" />
- <file
-   path="altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_av_csr.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="riviera" />
- <file
-   path="altera_reset_sequencer_180/sim/aldec/altera_reset_controller.v"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="riviera" />
- <file
-   path="altera_reset_sequencer_180/sim/aldec/altera_reset_synchronizer.v"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="riviera" />
- <file
-   path="altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="ncsim" />
- <file
-   path="altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_main.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="ncsim" />
- <file
-   path="altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_seq.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="ncsim" />
- <file
-   path="altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="ncsim" />
- <file
-   path="altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch_main.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="ncsim" />
- <file
-   path="altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_dlycntr.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="ncsim" />
- <file
-   path="altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_av_csr.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="ncsim" />
- <file
-   path="altera_reset_sequencer_180/sim/cadence/altera_reset_controller.v"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="ncsim" />
- <file
-   path="altera_reset_sequencer_180/sim/cadence/altera_reset_synchronizer.v"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="ncsim" />
- <file
-   path="altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="vcs" />
- <file
-   path="altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_main.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="vcs" />
- <file
-   path="altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_seq.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="vcs" />
- <file
-   path="altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="vcs" />
- <file
-   path="altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch_main.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="vcs" />
- <file
-   path="altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_dlycntr.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="vcs" />
- <file
-   path="altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_av_csr.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="vcs" />
- <file
-   path="altera_reset_sequencer_180/sim/synopsys/altera_reset_controller.v"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="vcs" />
- <file
-   path="altera_reset_sequencer_180/sim/synopsys/altera_reset_synchronizer.v"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_reset_sequencer_180"
-   simulator="vcs" />
- <file
-   path="sim/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd"
-   type="VHDL"
-   library="ip_arria10_e1sg_jesd204b_rx_reset_seq"
-   hasInlineConfiguration="true" />
- <topLevel
-   name="ip_arria10_e1sg_jesd204b_rx_reset_seq.ip_arria10_e1sg_jesd204b_rx_reset_seq" />
- <deviceFamily name="arria10" />
-</simPackage>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.xml b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.xml
deleted file mode 100644
index bb530894924706b691a6e0a50ee639d5e0ea9706..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq.xml
+++ /dev/null
@@ -1,1869 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<deploy
- date="2020.11.26.17:19:53"
- outputDirectory="/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/">
- <perimeter>
-  <parameter
-     name="AUTO_GENERATION_ID"
-     type="Integer"
-     defaultValue="0"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_UNIQUE_ID"
-     type="String"
-     defaultValue=""
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_DEVICE_FAMILY"
-     type="String"
-     defaultValue="Arria 10"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_DEVICE"
-     type="String"
-     defaultValue="10AX115U2F45E1SG"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_DEVICE_SPEEDGRADE"
-     type="String"
-     defaultValue="1"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_CLK_CLOCK_RATE"
-     type="Long"
-     defaultValue="-1"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_CLK_CLOCK_DOMAIN"
-     type="Integer"
-     defaultValue="-1"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_CLK_RESET_DOMAIN"
-     type="Integer"
-     defaultValue="-1"
-     onHdl="0"
-     affectsHdl="1" />
-  <interface name="av_csr" kind="avalon" start="0">
-   <property name="addressAlignment" value="DYNAMIC" />
-   <property name="addressGroup" value="0" />
-   <property name="addressSpan" value="256" />
-   <property name="addressUnits" value="SYMBOLS" />
-   <property name="alwaysBurstMaxBurst" value="false" />
-   <property name="associatedClock" value="clk" />
-   <property name="associatedReset" value="csr_reset" />
-   <property name="bitsPerSymbol" value="8" />
-   <property name="bridgedAddressOffset" value="0" />
-   <property name="bridgesToMaster" value="" />
-   <property name="burstOnBurstBoundariesOnly" value="false" />
-   <property name="burstcountUnits" value="SYMBOLS" />
-   <property name="constantBurstBehavior" value="false" />
-   <property name="explicitAddressSpan" value="0" />
-   <property name="holdTime" value="0" />
-   <property name="interleaveBursts" value="false" />
-   <property name="isBigEndian" value="false" />
-   <property name="isFlash" value="false" />
-   <property name="isMemoryDevice" value="false" />
-   <property name="isNonVolatileStorage" value="false" />
-   <property name="linewrapBursts" value="false" />
-   <property name="maximumPendingReadTransactions" value="0" />
-   <property name="maximumPendingWriteTransactions" value="0" />
-   <property name="minimumReadLatency" value="1" />
-   <property name="minimumResponseLatency" value="1" />
-   <property name="minimumUninterruptedRunLength" value="1" />
-   <property name="prSafe" value="false" />
-   <property name="printableDevice" value="false" />
-   <property name="readLatency" value="2" />
-   <property name="readWaitStates" value="0" />
-   <property name="readWaitTime" value="0" />
-   <property name="registerIncomingSignals" value="false" />
-   <property name="registerOutgoingSignals" value="false" />
-   <property name="setupTime" value="0" />
-   <property name="timingUnits" value="Cycles" />
-   <property name="transparentBridge" value="false" />
-   <property name="waitrequestAllowance" value="0" />
-   <property name="wellBehavedWaitrequest" value="false" />
-   <property name="writeLatency" value="0" />
-   <property name="writeWaitStates" value="0" />
-   <property name="writeWaitTime" value="0" />
-   <port name="av_csr_address" direction="input" role="address" width="8" />
-   <port name="av_csr_readdata" direction="output" role="readdata" width="32" />
-   <port name="av_csr_read" direction="input" role="read" width="1" />
-   <port name="av_csr_writedata" direction="input" role="writedata" width="32" />
-   <port name="av_csr_write" direction="input" role="write" width="1" />
-  </interface>
-  <interface name="irq" kind="interrupt" start="0">
-   <property
-       name="associatedAddressablePoint"
-       value="ip_arria10_e1sg_jesd204b_rx_reset_seq.av_csr" />
-   <property name="associatedClock" value="clk" />
-   <property name="associatedReset" value="csr_reset" />
-   <property name="bridgedReceiverOffset" value="0" />
-   <property name="bridgesToReceiver" value="" />
-   <property name="irqScheme" value="NONE" />
-   <port name="irq_irq" direction="output" role="irq" width="1" />
-  </interface>
-  <interface name="clk" kind="clock" start="0">
-   <property name="clockRate" value="0" />
-   <property name="externallyDriven" value="false" />
-   <property name="ptfSchematicName" value="" />
-   <port name="clk_clk" direction="input" role="clk" width="1" />
-  </interface>
-  <interface name="csr_reset" kind="reset" start="0">
-   <property name="associatedClock" value="" />
-   <property name="synchronousEdges" value="NONE" />
-   <port name="csr_reset_reset" direction="input" role="reset" width="1" />
-  </interface>
-  <interface name="reset1_dsrt_qual" kind="conduit" start="0">
-   <property name="associatedClock" value="" />
-   <property name="associatedReset" value="" />
-   <property name="prSafe" value="false" />
-   <port
-       name="reset1_dsrt_qual_reset1_dsrt_qual"
-       direction="input"
-       role="reset1_dsrt_qual"
-       width="1" />
-  </interface>
-  <interface name="reset2_dsrt_qual" kind="conduit" start="0">
-   <property name="associatedClock" value="" />
-   <property name="associatedReset" value="" />
-   <property name="prSafe" value="false" />
-   <port
-       name="reset2_dsrt_qual_reset2_dsrt_qual"
-       direction="input"
-       role="reset2_dsrt_qual"
-       width="1" />
-  </interface>
-  <interface name="reset5_dsrt_qual" kind="conduit" start="0">
-   <property name="associatedClock" value="" />
-   <property name="associatedReset" value="" />
-   <property name="prSafe" value="false" />
-   <port
-       name="reset5_dsrt_qual_reset5_dsrt_qual"
-       direction="input"
-       role="reset5_dsrt_qual"
-       width="1" />
-  </interface>
-  <interface name="reset_in0" kind="reset" start="0">
-   <property name="associatedClock" value="" />
-   <property name="synchronousEdges" value="NONE" />
-   <port name="reset_in0_reset" direction="input" role="reset" width="1" />
-  </interface>
-  <interface name="reset_out0" kind="reset" start="1">
-   <property name="associatedClock" value="clk" />
-   <property name="associatedDirectReset" value="" />
-   <property name="associatedResetSinks" value="reset_in0" />
-   <property name="synchronousEdges" value="BOTH" />
-   <port name="reset_out0_reset" direction="output" role="reset" width="1" />
-  </interface>
-  <interface name="reset_out1" kind="reset" start="1">
-   <property name="associatedClock" value="clk" />
-   <property name="associatedDirectReset" value="" />
-   <property name="associatedResetSinks" value="reset_in0" />
-   <property name="synchronousEdges" value="BOTH" />
-   <port name="reset_out1_reset" direction="output" role="reset" width="1" />
-  </interface>
-  <interface name="reset_out2" kind="reset" start="1">
-   <property name="associatedClock" value="clk" />
-   <property name="associatedDirectReset" value="" />
-   <property name="associatedResetSinks" value="reset_in0" />
-   <property name="synchronousEdges" value="BOTH" />
-   <port name="reset_out2_reset" direction="output" role="reset" width="1" />
-  </interface>
-  <interface name="reset_out3" kind="reset" start="1">
-   <property name="associatedClock" value="clk" />
-   <property name="associatedDirectReset" value="" />
-   <property name="associatedResetSinks" value="reset_in0" />
-   <property name="synchronousEdges" value="BOTH" />
-   <port name="reset_out3_reset" direction="output" role="reset" width="1" />
-  </interface>
-  <interface name="reset_out4" kind="reset" start="1">
-   <property name="associatedClock" value="clk" />
-   <property name="associatedDirectReset" value="" />
-   <property name="associatedResetSinks" value="reset_in0" />
-   <property name="synchronousEdges" value="BOTH" />
-   <port name="reset_out4_reset" direction="output" role="reset" width="1" />
-  </interface>
-  <interface name="reset_out5" kind="reset" start="1">
-   <property name="associatedClock" value="clk" />
-   <property name="associatedDirectReset" value="" />
-   <property name="associatedResetSinks" value="reset_in0" />
-   <property name="synchronousEdges" value="BOTH" />
-   <port name="reset_out5_reset" direction="output" role="reset" width="1" />
-  </interface>
-  <interface name="reset_out6" kind="reset" start="1">
-   <property name="associatedClock" value="clk" />
-   <property name="associatedDirectReset" value="" />
-   <property name="associatedResetSinks" value="reset_in0" />
-   <property name="synchronousEdges" value="BOTH" />
-   <port name="reset_out6_reset" direction="output" role="reset" width="1" />
-  </interface>
-  <interface name="reset_out7" kind="reset" start="1">
-   <property name="associatedClock" value="clk" />
-   <property name="associatedDirectReset" value="" />
-   <property name="associatedResetSinks" value="reset_in0" />
-   <property name="synchronousEdges" value="BOTH" />
-   <port name="reset_out7_reset" direction="output" role="reset" width="1" />
-  </interface>
- </perimeter>
- <entity
-   kind="ip_arria10_e1sg_jesd204b_rx_reset_seq"
-   version="1.0"
-   name="ip_arria10_e1sg_jesd204b_rx_reset_seq">
-  <parameter name="AUTO_CLK_CLOCK_RATE" value="-1" />
-  <parameter name="AUTO_GENERATION_ID" value="0" />
-  <parameter name="AUTO_DEVICE" value="10AX115U2F45E1SG" />
-  <parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" />
-  <parameter name="AUTO_CLK_RESET_DOMAIN" value="-1" />
-  <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="-1" />
-  <parameter name="AUTO_UNIQUE_ID" value="" />
-  <parameter name="AUTO_DEVICE_SPEEDGRADE" value="1" />
-  <generatedFiles>
-   <file
-       path="/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/synth/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd"
-       attributes="CONTAINS_INLINE_CONFIGURATION" />
-  </generatedFiles>
-  <childGeneratedFiles>
-   <file
-       path="/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/synth/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd"
-       attributes="CONTAINS_INLINE_CONFIGURATION" />
-  </childGeneratedFiles>
-  <sourceFiles>
-   <file
-       path="/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys" />
-  </sourceFiles>
-  <childSourceFiles/>
-  <messages>
-   <message level="Info" culprit="ip_arria10_e1sg_jesd204b_rx_reset_seq">"Generating: ip_arria10_e1sg_jesd204b_rx_reset_seq"</message>
-   <message level="Info" culprit="ip_arria10_e1sg_jesd204b_rx_reset_seq">"Generating: ip_arria10_e1sg_jesd204b_rx_reset_seq"</message>
-  </messages>
- </entity>
- <entity
-   kind="altera_generic_component"
-   version="1.0"
-   name="ip_arria10_e1sg_jesd204b_rx_reset_seq">
-  <parameter name="hlsFile" value="" />
-  <parameter name="svInterfaceDefinition" value="" />
-  <parameter name="AUTO_DEVICE" value="10AX115U2F45E1SG" />
-  <parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" />
-  <parameter
-     name="defaultBoundary"
-     value="&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;av_csr&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;8&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;av_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;256&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;SYMBOLS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;csr_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;SYMBOLS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;2&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;av_csr_irq&lt;/name&gt;
-            &lt;type&gt;interrupt&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;irq&lt;/name&gt;
-                    &lt;role&gt;irq&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedAddressablePoint&lt;/key&gt;
-                        &lt;value&gt;reset_sequencer_0.av_csr&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;csr_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedReceiverOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToReceiver&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;irqScheme&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;csr_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csr_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-                    &lt;role&gt;reset1_dsrt_qual&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-                    &lt;role&gt;reset2_dsrt_qual&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-                    &lt;role&gt;reset5_dsrt_qual&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_in0&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_in0&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out0&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out0&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out1&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out1&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out2&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out2&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out3&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out3&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out4&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out4&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out5&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out5&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out6&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out6&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset_out7&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;true&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset_out7&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;clk&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                        &lt;value&gt;reset_in0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;BOTH&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;" />
-  <parameter
-     name="componentDefinition"
-     value="&lt;componentDefinition&gt;
-    &lt;boundary&gt;
-        &lt;interfaces&gt;
-            &lt;interface&gt;
-                &lt;name&gt;av_csr&lt;/name&gt;
-                &lt;type&gt;avalon&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_address&lt;/name&gt;
-                        &lt;role&gt;address&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;8&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_readdata&lt;/name&gt;
-                        &lt;role&gt;readdata&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;32&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_read&lt;/name&gt;
-                        &lt;role&gt;read&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_writedata&lt;/name&gt;
-                        &lt;role&gt;writedata&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;32&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;av_write&lt;/name&gt;
-                        &lt;role&gt;write&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;addressAlignment&lt;/key&gt;
-                            &lt;value&gt;DYNAMIC&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;addressGroup&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;addressSpan&lt;/key&gt;
-                            &lt;value&gt;256&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;addressUnits&lt;/key&gt;
-                            &lt;value&gt;SYMBOLS&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                            &lt;value&gt;csr_reset&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                            &lt;value&gt;8&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;burstcountUnits&lt;/key&gt;
-                            &lt;value&gt;SYMBOLS&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;holdTime&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;interleaveBursts&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;isBigEndian&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;isFlash&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;linewrapBursts&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                            &lt;value&gt;1&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                            &lt;value&gt;1&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                            &lt;value&gt;1&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;printableDevice&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;readLatency&lt;/key&gt;
-                            &lt;value&gt;2&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;readWaitStates&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;readWaitTime&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;setupTime&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;timingUnits&lt;/key&gt;
-                            &lt;value&gt;Cycles&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;transparentBridge&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;writeLatency&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;writeWaitStates&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;writeWaitTime&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;av_csr_irq&lt;/name&gt;
-                &lt;type&gt;interrupt&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;irq&lt;/name&gt;
-                        &lt;role&gt;irq&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedAddressablePoint&lt;/key&gt;
-                            &lt;value&gt;reset_sequencer_0.av_csr&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                            &lt;value&gt;csr_reset&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bridgedReceiverOffset&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;bridgesToReceiver&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;irqScheme&lt;/key&gt;
-                            &lt;value&gt;NONE&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;clk&lt;/name&gt;
-                &lt;type&gt;clock&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;clk&lt;/name&gt;
-                        &lt;role&gt;clk&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;clockRate&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;externallyDriven&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;csr_reset&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;csr_reset&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;NONE&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset1_dsrt_qual&lt;/name&gt;
-                        &lt;role&gt;reset1_dsrt_qual&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset2_dsrt_qual&lt;/name&gt;
-                        &lt;role&gt;reset2_dsrt_qual&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset5_dsrt_qual&lt;/name&gt;
-                        &lt;role&gt;reset5_dsrt_qual&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_in0&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_in0&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;NONE&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out0&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out0&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out1&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out1&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out2&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out2&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out3&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out3&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out4&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out4&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out5&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out5&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out6&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out6&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset_out7&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;true&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset_out7&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                            &lt;value&gt;clk&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedDirectReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedResetSinks&lt;/key&gt;
-                            &lt;value&gt;reset_in0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;BOTH&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-        &lt;/interfaces&gt;
-    &lt;/boundary&gt;
-    &lt;originalModuleInfo&gt;
-        &lt;className&gt;altera_reset_sequencer&lt;/className&gt;
-        &lt;version&gt;19.1&lt;/version&gt;
-        &lt;displayName&gt;Reset Sequencer Intel FPGA IP&lt;/displayName&gt;
-    &lt;/originalModuleInfo&gt;
-    &lt;systemInfoParameterDescriptors&gt;
-        &lt;descriptors/&gt;
-    &lt;/systemInfoParameterDescriptors&gt;
-    &lt;systemInfos&gt;
-        &lt;connPtSystemInfos&gt;
-            &lt;entry&gt;
-                &lt;key&gt;av_csr&lt;/key&gt;
-                &lt;value&gt;
-                    &lt;connectionPointName&gt;av_csr&lt;/connectionPointName&gt;
-                    &lt;suppliedSystemInfos&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                            &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name=&apos;av_csr&apos; start=&apos;0x0&apos; end=&apos;0x100&apos; datawidth=&apos;32&apos; /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                            &lt;value&gt;8&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                            &lt;value&gt;32&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/suppliedSystemInfos&gt;
-                    &lt;consumedSystemInfos/&gt;
-                &lt;/value&gt;
-            &lt;/entry&gt;
-        &lt;/connPtSystemInfos&gt;
-    &lt;/systemInfos&gt;
-&lt;/componentDefinition&gt;" />
-  <parameter
-     name="generationInfoDefinition"
-     value="&lt;generationInfoDefinition&gt;
-    &lt;hdlLibraryName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/hdlLibraryName&gt;
-    &lt;fileSets&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;QUARTUS_SYNTH&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;SIM_VERILOG&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_reset_seq&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;SIM_VHDL&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-    &lt;/fileSets&gt;
-&lt;/generationInfoDefinition&gt;" />
-  <parameter name="logicalView" value="ip_arria10_e1sg_jesd204b_rx_reset_seq.ip" />
-  <parameter
-     name="moduleAssignmentDefinition"
-     value="&lt;assignmentDefinition&gt;
-    &lt;assignmentValueMap/&gt;
-&lt;/assignmentDefinition&gt;" />
-  <generatedFiles/>
-  <childGeneratedFiles/>
-  <sourceFiles/>
-  <childSourceFiles/>
-  <instantiator
-     instantiator="ip_arria10_e1sg_jesd204b_rx_reset_seq"
-     as="reset_sequencer_0" />
-  <messages>
-   <message level="Info" culprit="ip_arria10_e1sg_jesd204b_rx_reset_seq">"Generating: ip_arria10_e1sg_jesd204b_rx_reset_seq"</message>
-  </messages>
- </entity>
-</deploy>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_bb.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_bb.v
deleted file mode 100644
index a13df633a82a696d747be39b58199d807b2aca41..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_bb.v
+++ /dev/null
@@ -1,24 +0,0 @@
-module ip_arria10_e1sg_jesd204b_rx_reset_seq (
-		input  wire [7:0]  av_csr_address,                    //           av_csr.address
-		output wire [31:0] av_csr_readdata,                   //                 .readdata
-		input  wire        av_csr_read,                       //                 .read
-		input  wire [31:0] av_csr_writedata,                  //                 .writedata
-		input  wire        av_csr_write,                      //                 .write
-		output wire        irq_irq,                           //              irq.irq
-		input  wire        clk_clk,                           //              clk.clk
-		input  wire        csr_reset_reset,                   //        csr_reset.reset
-		input  wire        reset1_dsrt_qual_reset1_dsrt_qual, // reset1_dsrt_qual.reset1_dsrt_qual
-		input  wire        reset2_dsrt_qual_reset2_dsrt_qual, // reset2_dsrt_qual.reset2_dsrt_qual
-		input  wire        reset5_dsrt_qual_reset5_dsrt_qual, // reset5_dsrt_qual.reset5_dsrt_qual
-		input  wire        reset_in0_reset,                   //        reset_in0.reset
-		output wire        reset_out0_reset,                  //       reset_out0.reset
-		output wire        reset_out1_reset,                  //       reset_out1.reset
-		output wire        reset_out2_reset,                  //       reset_out2.reset
-		output wire        reset_out3_reset,                  //       reset_out3.reset
-		output wire        reset_out4_reset,                  //       reset_out4.reset
-		output wire        reset_out5_reset,                  //       reset_out5.reset
-		output wire        reset_out6_reset,                  //       reset_out6.reset
-		output wire        reset_out7_reset                   //       reset_out7.reset
-	);
-endmodule
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_generation.rpt b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_generation.rpt
deleted file mode 100644
index 035ae08f6f0aca644addbbed7c4170b057986d2e..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_generation.rpt
+++ /dev/null
@@ -1,35 +0,0 @@
-Info: Generated by version: 19.4 build 64
-Info: Starting: Create block symbol file (.bsf)
-Info: qsys-generate /home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys --block-symbol-file --output-directory=/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq --family="Arria 10" --part=10AX115U2F45E1SG
-Progress: Loading jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys
-Progress: Reading input file
-Progress: Adding reset_sequencer_0 [altera_generic_component 1.0]
-Progress: Parameterizing module reset_sequencer_0
-Progress: Building connections
-Progress: Parameterizing connections
-Progress: Validating
-Progress: Done reading input file
-Info: qsys-generate succeeded.
-Info: Finished: Create block symbol file (.bsf)
-Info: 
-Info: Starting: Create HDL design files for synthesis
-Info: qsys-generate /home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys --synthesis=VHDL --output-directory=/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq --family="Arria 10" --part=10AX115U2F45E1SG
-Progress: Loading jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys
-Progress: Reading input file
-Progress: Adding reset_sequencer_0 [altera_generic_component 1.0]
-Progress: Parameterizing module reset_sequencer_0
-Progress: Building connections
-Progress: Parameterizing connections
-Progress: Validating
-Progress: Done reading input file
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Transforming system: ip_arria10_e1sg_jesd204b_rx_reset_seq"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Naming system components in system: ip_arria10_e1sg_jesd204b_rx_reset_seq"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Processing generation queue"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Generating: ip_arria10_e1sg_jesd204b_rx_reset_seq"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Generating: ip_arria10_e1sg_jesd204b_rx_reset_seq"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: Done "ip_arria10_e1sg_jesd204b_rx_reset_seq" with 2 modules, 1 files
-Info: qsys-generate succeeded.
-Info: Finished: Create HDL design files for synthesis
-Info: Starting: Generate IP Core Documentation
-Info: No documentation filesets were found for components in ip_arria10_e1sg_jesd204b_rx_reset_seq. No files generated.
-Info: Finished: Generate IP Core Documentation
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_generation_previous.rpt b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_generation_previous.rpt
deleted file mode 100644
index c1039597a336dc672cdaec18077529823c402500..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_generation_previous.rpt
+++ /dev/null
@@ -1,19 +0,0 @@
-Info: Generated by version: 19.4 build 64
-Info: Starting: Create block symbol file (.bsf)
-Info: qsys-generate /home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.ip --block-symbol-file --output-directory=/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq --family="Arria 10" --part=10AX115U2F45E1SG
-Info: qsys-generate succeeded.
-Info: Finished: Create block symbol file (.bsf)
-Info: 
-Info: Starting: Create HDL design files for synthesis
-Info: qsys-generate /home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq.ip --synthesis=VHDL --output-directory=/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq --family="Arria 10" --part=10AX115U2F45E1SG
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Transforming system: ip_arria10_e1sg_jesd204b_rx_reset_seq"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Naming system components in system: ip_arria10_e1sg_jesd204b_rx_reset_seq"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Processing generation queue"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Generating: ip_arria10_e1sg_jesd204b_rx_reset_seq"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: "Generating: altera_reset_sequencer"
-Info: ip_arria10_e1sg_jesd204b_rx_reset_seq: Done "ip_arria10_e1sg_jesd204b_rx_reset_seq" with 2 modules, 10 files
-Info: qsys-generate succeeded.
-Info: Finished: Create HDL design files for synthesis
-Info: Starting: Generate IP Core Documentation
-Info: No documentation filesets were found for components in ip_arria10_e1sg_jesd204b_rx_reset_seq. No files generated.
-Info: Finished: Generate IP Core Documentation
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_inst.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_inst.v
deleted file mode 100644
index 314d9efc7ae7cc8d66696150e412b33501babe89..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_inst.v
+++ /dev/null
@@ -1,23 +0,0 @@
-	ip_arria10_e1sg_jesd204b_rx_reset_seq u0 (
-		.av_csr_address                    (_connected_to_av_csr_address_),                    //   input,   width = 8,           av_csr.address
-		.av_csr_readdata                   (_connected_to_av_csr_readdata_),                   //  output,  width = 32,                 .readdata
-		.av_csr_read                       (_connected_to_av_csr_read_),                       //   input,   width = 1,                 .read
-		.av_csr_writedata                  (_connected_to_av_csr_writedata_),                  //   input,  width = 32,                 .writedata
-		.av_csr_write                      (_connected_to_av_csr_write_),                      //   input,   width = 1,                 .write
-		.irq_irq                           (_connected_to_irq_irq_),                           //  output,   width = 1,              irq.irq
-		.clk_clk                           (_connected_to_clk_clk_),                           //   input,   width = 1,              clk.clk
-		.csr_reset_reset                   (_connected_to_csr_reset_reset_),                   //   input,   width = 1,        csr_reset.reset
-		.reset1_dsrt_qual_reset1_dsrt_qual (_connected_to_reset1_dsrt_qual_reset1_dsrt_qual_), //   input,   width = 1, reset1_dsrt_qual.reset1_dsrt_qual
-		.reset2_dsrt_qual_reset2_dsrt_qual (_connected_to_reset2_dsrt_qual_reset2_dsrt_qual_), //   input,   width = 1, reset2_dsrt_qual.reset2_dsrt_qual
-		.reset5_dsrt_qual_reset5_dsrt_qual (_connected_to_reset5_dsrt_qual_reset5_dsrt_qual_), //   input,   width = 1, reset5_dsrt_qual.reset5_dsrt_qual
-		.reset_in0_reset                   (_connected_to_reset_in0_reset_),                   //   input,   width = 1,        reset_in0.reset
-		.reset_out0_reset                  (_connected_to_reset_out0_reset_),                  //  output,   width = 1,       reset_out0.reset
-		.reset_out1_reset                  (_connected_to_reset_out1_reset_),                  //  output,   width = 1,       reset_out1.reset
-		.reset_out2_reset                  (_connected_to_reset_out2_reset_),                  //  output,   width = 1,       reset_out2.reset
-		.reset_out3_reset                  (_connected_to_reset_out3_reset_),                  //  output,   width = 1,       reset_out3.reset
-		.reset_out4_reset                  (_connected_to_reset_out4_reset_),                  //  output,   width = 1,       reset_out4.reset
-		.reset_out5_reset                  (_connected_to_reset_out5_reset_),                  //  output,   width = 1,       reset_out5.reset
-		.reset_out6_reset                  (_connected_to_reset_out6_reset_),                  //  output,   width = 1,       reset_out6.reset
-		.reset_out7_reset                  (_connected_to_reset_out7_reset_)                   //  output,   width = 1,       reset_out7.reset
-	);
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_inst.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_inst.vhd
deleted file mode 100644
index 7abdd715d652b1e8ec815a0b5f690976a2004e50..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/ip_arria10_e1sg_jesd204b_rx_reset_seq_inst.vhd
+++ /dev/null
@@ -1,49 +0,0 @@
-	component ip_arria10_e1sg_jesd204b_rx_reset_seq is
-		port (
-			av_csr_address                    : in  std_logic_vector(7 downto 0)  := (others => 'X'); -- address
-			av_csr_readdata                   : out std_logic_vector(31 downto 0);                    -- readdata
-			av_csr_read                       : in  std_logic                     := 'X';             -- read
-			av_csr_writedata                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
-			av_csr_write                      : in  std_logic                     := 'X';             -- write
-			irq_irq                           : out std_logic;                                        -- irq
-			clk_clk                           : in  std_logic                     := 'X';             -- clk
-			csr_reset_reset                   : in  std_logic                     := 'X';             -- reset
-			reset1_dsrt_qual_reset1_dsrt_qual : in  std_logic                     := 'X';             -- reset1_dsrt_qual
-			reset2_dsrt_qual_reset2_dsrt_qual : in  std_logic                     := 'X';             -- reset2_dsrt_qual
-			reset5_dsrt_qual_reset5_dsrt_qual : in  std_logic                     := 'X';             -- reset5_dsrt_qual
-			reset_in0_reset                   : in  std_logic                     := 'X';             -- reset
-			reset_out0_reset                  : out std_logic;                                        -- reset
-			reset_out1_reset                  : out std_logic;                                        -- reset
-			reset_out2_reset                  : out std_logic;                                        -- reset
-			reset_out3_reset                  : out std_logic;                                        -- reset
-			reset_out4_reset                  : out std_logic;                                        -- reset
-			reset_out5_reset                  : out std_logic;                                        -- reset
-			reset_out6_reset                  : out std_logic;                                        -- reset
-			reset_out7_reset                  : out std_logic                                         -- reset
-		);
-	end component ip_arria10_e1sg_jesd204b_rx_reset_seq;
-
-	u0 : component ip_arria10_e1sg_jesd204b_rx_reset_seq
-		port map (
-			av_csr_address                    => CONNECTED_TO_av_csr_address,                    --           av_csr.address
-			av_csr_readdata                   => CONNECTED_TO_av_csr_readdata,                   --                 .readdata
-			av_csr_read                       => CONNECTED_TO_av_csr_read,                       --                 .read
-			av_csr_writedata                  => CONNECTED_TO_av_csr_writedata,                  --                 .writedata
-			av_csr_write                      => CONNECTED_TO_av_csr_write,                      --                 .write
-			irq_irq                           => CONNECTED_TO_irq_irq,                           --              irq.irq
-			clk_clk                           => CONNECTED_TO_clk_clk,                           --              clk.clk
-			csr_reset_reset                   => CONNECTED_TO_csr_reset_reset,                   --        csr_reset.reset
-			reset1_dsrt_qual_reset1_dsrt_qual => CONNECTED_TO_reset1_dsrt_qual_reset1_dsrt_qual, -- reset1_dsrt_qual.reset1_dsrt_qual
-			reset2_dsrt_qual_reset2_dsrt_qual => CONNECTED_TO_reset2_dsrt_qual_reset2_dsrt_qual, -- reset2_dsrt_qual.reset2_dsrt_qual
-			reset5_dsrt_qual_reset5_dsrt_qual => CONNECTED_TO_reset5_dsrt_qual_reset5_dsrt_qual, -- reset5_dsrt_qual.reset5_dsrt_qual
-			reset_in0_reset                   => CONNECTED_TO_reset_in0_reset,                   --        reset_in0.reset
-			reset_out0_reset                  => CONNECTED_TO_reset_out0_reset,                  --       reset_out0.reset
-			reset_out1_reset                  => CONNECTED_TO_reset_out1_reset,                  --       reset_out1.reset
-			reset_out2_reset                  => CONNECTED_TO_reset_out2_reset,                  --       reset_out2.reset
-			reset_out3_reset                  => CONNECTED_TO_reset_out3_reset,                  --       reset_out3.reset
-			reset_out4_reset                  => CONNECTED_TO_reset_out4_reset,                  --       reset_out4.reset
-			reset_out5_reset                  => CONNECTED_TO_reset_out5_reset,                  --       reset_out5.reset
-			reset_out6_reset                  => CONNECTED_TO_reset_out6_reset,                  --       reset_out6.reset
-			reset_out7_reset                  => CONNECTED_TO_reset_out7_reset                   --       reset_out7.reset
-		);
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/modelsim_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/modelsim_files.tcl
deleted file mode 100644
index b8a08004ecd15fac01d823627f08e808fa85693b..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/modelsim_files.tcl
+++ /dev/null
@@ -1,74 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_reset_seq {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_reset_sequencer_180            1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_reset_seq 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer.sv"]\"  -work altera_reset_sequencer_180"              
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_main.sv"]\"  -work altera_reset_sequencer_180"         
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_seq.sv"]\"  -work altera_reset_sequencer_180"          
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch.sv"]\"  -work altera_reset_sequencer_180"     
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_deglitch_main.sv"]\"  -work altera_reset_sequencer_180"
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_dlycntr.sv"]\"  -work altera_reset_sequencer_180"      
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/mentor/altera_reset_sequencer_av_csr.sv"]\"  -work altera_reset_sequencer_180"       
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/mentor/altera_reset_controller.v"]\"  -work altera_reset_sequencer_180"              
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/mentor/altera_reset_synchronizer.v"]\"  -work altera_reset_sequencer_180"            
-    lappend design_files "vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd"]\"  -work ip_arria10_e1sg_jesd204b_rx_reset_seq"                                   
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-  proc normalize_path {FILEPATH} {
-      if {[catch { package require fileutil } err]} { 
-          return $FILEPATH 
-      } 
-      set path [fileutil::lexnormalize [file join [pwd] $FILEPATH]]  
-      if {[file pathtype $FILEPATH] eq "relative"} { 
-          set path [fileutil::relative [pwd] $path] 
-      } 
-      return $path 
-  } 
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/ncsim_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/ncsim_files.tcl
deleted file mode 100644
index 89ad4ccedff92e57575b410f842479d77f2ff8ad..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/ncsim_files.tcl
+++ /dev/null
@@ -1,64 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_reset_seq {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_reset_sequencer_180            1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_reset_seq 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"              
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_main.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"         
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_seq.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"          
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"     
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch_main.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_dlycntr.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"      
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_av_csr.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"       
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_controller.v\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"              
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_synchronizer.v\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"            
-    lappend design_files "ncvhdl -v93 $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd\"  -work ip_arria10_e1sg_jesd204b_rx_reset_seq"                                                                                      
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/riviera_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/riviera_files.tcl
deleted file mode 100644
index 97d62de4afbc55ec900d7f597c343a33d3ce7ca5..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/riviera_files.tcl
+++ /dev/null
@@ -1,74 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_reset_seq {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_reset_sequencer_180            1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_reset_seq 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer.sv"]\"  -work altera_reset_sequencer_180"              
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_main.sv"]\"  -work altera_reset_sequencer_180"         
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_seq.sv"]\"  -work altera_reset_sequencer_180"          
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch.sv"]\"  -work altera_reset_sequencer_180"     
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_deglitch_main.sv"]\"  -work altera_reset_sequencer_180"
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_dlycntr.sv"]\"  -work altera_reset_sequencer_180"      
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/aldec/altera_reset_sequencer_av_csr.sv"]\"  -work altera_reset_sequencer_180"       
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/aldec/altera_reset_controller.v"]\"  -work altera_reset_sequencer_180"              
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/aldec/altera_reset_synchronizer.v"]\"  -work altera_reset_sequencer_180"            
-    lappend design_files "vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd"]\"  -work ip_arria10_e1sg_jesd204b_rx_reset_seq"                               
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-  proc normalize_path {FILEPATH} {
-      if {[catch { package require fileutil } err]} { 
-          return $FILEPATH 
-      } 
-      set path [fileutil::lexnormalize [file join [pwd] $FILEPATH]]  
-      if {[file pathtype $FILEPATH] eq "relative"} { 
-          set path [fileutil::relative [pwd] $path] 
-      } 
-      return $path 
-  } 
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/vcs_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/vcs_files.tcl
deleted file mode 100644
index c1f2c2b977f5ee32d79c5ec3ffbb1d84086950e0..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/vcs_files.tcl
+++ /dev/null
@@ -1,47 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_reset_seq {
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {QSYS_SIMDIR} {
-    set design_files [dict create]
-    error "Skipping VCS script generation since VHDL file $QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd is required for simulation"
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/vcsmx_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/vcsmx_files.tcl
deleted file mode 100644
index b101b52f2fa7fb9080c6e1eb84d60a5f1c33e228..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/vcsmx_files.tcl
+++ /dev/null
@@ -1,64 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_reset_seq {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_reset_sequencer_180            1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_reset_seq 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer.sv\"  -work altera_reset_sequencer_180"              
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_main.sv\"  -work altera_reset_sequencer_180"         
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_seq.sv\"  -work altera_reset_sequencer_180"          
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch.sv\"  -work altera_reset_sequencer_180"     
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_deglitch_main.sv\"  -work altera_reset_sequencer_180"
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_dlycntr.sv\"  -work altera_reset_sequencer_180"      
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/synopsys/altera_reset_sequencer_av_csr.sv\"  -work altera_reset_sequencer_180"       
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/synopsys/altera_reset_controller.v\"  -work altera_reset_sequencer_180"              
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/synopsys/altera_reset_synchronizer.v\"  -work altera_reset_sequencer_180"            
-    lappend design_files "vhdlan -xlrm $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd\"  -work ip_arria10_e1sg_jesd204b_rx_reset_seq"                                          
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/xcelium_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/xcelium_files.tcl
deleted file mode 100644
index 1d01c79a4c50373e046c39671e60eb17820a6fa7..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/common/xcelium_files.tcl
+++ /dev/null
@@ -1,64 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_reset_seq {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_reset_sequencer_180            1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_reset_seq 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"              
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_main.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"         
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_seq.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"          
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"     
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_deglitch_main.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_dlycntr.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"      
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_sequencer_av_csr.sv\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"       
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_controller.v\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"              
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_reset_sequencer_180/sim/cadence/altera_reset_synchronizer.v\"  -work altera_reset_sequencer_180 -cdslib  ./cds_libs/altera_reset_sequencer_180.cds.lib"            
-    lappend design_files "xmvhdl -v93 $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd\"  -work ip_arria10_e1sg_jesd204b_rx_reset_seq"                                                                                      
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd
deleted file mode 100644
index 2f63179972e48b4afbdb5ab98e196fdde52bf14a..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/sim/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd
+++ /dev/null
@@ -1,338 +0,0 @@
--- ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd
-
--- Generated using ACDS version 18.0 219
-
-library IEEE;
-library altera_reset_sequencer_180;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-
-entity ip_arria10_e1sg_jesd204b_rx_reset_seq is
-	generic (
-		NUM_OUTPUTS                   : integer := 8;
-		ENABLE_DEASSERTION_INPUT_QUAL : integer := 38;
-		ENABLE_ASSERTION_SEQUENCE     : integer := 0;
-		ENABLE_DEASSERTION_SEQUENCE   : integer := 1;
-		MIN_ASRT_TIME                 : integer := 20;
-		ASRT_DELAY0                   : integer := 0;
-		DSRT_DELAY0                   : integer := 2;
-		ASRT_REMAP0                   : integer := 0;
-		DSRT_REMAP0                   : integer := 0;
-		DSRT_QUALCNT_0                : integer := 0;
-		ASRT_DELAY1                   : integer := 0;
-		DSRT_DELAY1                   : integer := 0;
-		ASRT_REMAP1                   : integer := 1;
-		DSRT_REMAP1                   : integer := 1;
-		DSRT_QUALCNT_1                : integer := 2;
-		ASRT_DELAY2                   : integer := 0;
-		DSRT_DELAY2                   : integer := 0;
-		ASRT_REMAP2                   : integer := 2;
-		DSRT_REMAP2                   : integer := 2;
-		DSRT_QUALCNT_2                : integer := 2;
-		ASRT_DELAY3                   : integer := 0;
-		DSRT_DELAY3                   : integer := 20;
-		ASRT_REMAP3                   : integer := 3;
-		DSRT_REMAP3                   : integer := 3;
-		DSRT_QUALCNT_3                : integer := 0;
-		ASRT_DELAY4                   : integer := 0;
-		DSRT_DELAY4                   : integer := 0;
-		ASRT_REMAP4                   : integer := 4;
-		DSRT_REMAP4                   : integer := 4;
-		DSRT_QUALCNT_4                : integer := 0;
-		ASRT_DELAY5                   : integer := 0;
-		DSRT_DELAY5                   : integer := 0;
-		ASRT_REMAP5                   : integer := 5;
-		DSRT_REMAP5                   : integer := 5;
-		DSRT_QUALCNT_5                : integer := 2;
-		ASRT_DELAY6                   : integer := 0;
-		DSRT_DELAY6                   : integer := 20;
-		ASRT_REMAP6                   : integer := 6;
-		DSRT_REMAP6                   : integer := 6;
-		DSRT_QUALCNT_6                : integer := 0;
-		ASRT_DELAY7                   : integer := 0;
-		DSRT_DELAY7                   : integer := 0;
-		ASRT_REMAP7                   : integer := 7;
-		DSRT_REMAP7                   : integer := 7;
-		DSRT_QUALCNT_7                : integer := 0;
-		ASRT_DELAY8                   : integer := 0;
-		DSRT_DELAY8                   : integer := 0;
-		ASRT_REMAP8                   : integer := 8;
-		DSRT_REMAP8                   : integer := 8;
-		DSRT_QUALCNT_8                : integer := 0;
-		ASRT_DELAY9                   : integer := 0;
-		DSRT_DELAY9                   : integer := 0;
-		ASRT_REMAP9                   : integer := 9;
-		DSRT_REMAP9                   : integer := 9;
-		DSRT_QUALCNT_9                : integer := 0
-	);
-	port (
-		av_address       : in  std_logic_vector(7 downto 0)  := (others => '0'); --           av_csr.address
-		av_readdata      : out std_logic_vector(31 downto 0);                    --                 .readdata
-		av_read          : in  std_logic                     := '0';             --                 .read
-		av_writedata     : in  std_logic_vector(31 downto 0) := (others => '0'); --                 .writedata
-		av_write         : in  std_logic                     := '0';             --                 .write
-		irq              : out std_logic;                                        --       av_csr_irq.irq
-		clk              : in  std_logic                     := '0';             --              clk.clk
-		csr_reset        : in  std_logic                     := '0';             --        csr_reset.reset
-		reset1_dsrt_qual : in  std_logic                     := '0';             -- reset1_dsrt_qual.reset1_dsrt_qual
-		reset2_dsrt_qual : in  std_logic                     := '0';             -- reset2_dsrt_qual.reset2_dsrt_qual
-		reset5_dsrt_qual : in  std_logic                     := '0';             -- reset5_dsrt_qual.reset5_dsrt_qual
-		reset_in0        : in  std_logic                     := '0';             --        reset_in0.reset
-		reset_out0       : out std_logic;                                        --       reset_out0.reset
-		reset_out1       : out std_logic;                                        --       reset_out1.reset
-		reset_out2       : out std_logic;                                        --       reset_out2.reset
-		reset_out3       : out std_logic;                                        --       reset_out3.reset
-		reset_out4       : out std_logic;                                        --       reset_out4.reset
-		reset_out5       : out std_logic;                                        --       reset_out5.reset
-		reset_out6       : out std_logic;                                        --       reset_out6.reset
-		reset_out7       : out std_logic                                         --       reset_out7.reset
-	);
-end entity ip_arria10_e1sg_jesd204b_rx_reset_seq;
-
-architecture rtl of ip_arria10_e1sg_jesd204b_rx_reset_seq is
-	component altera_reset_sequencer_cmp is
-		generic (
-			NUM_OUTPUTS                   : integer := 3;
-			ENABLE_DEASSERTION_INPUT_QUAL : integer := 0;
-			ENABLE_ASSERTION_SEQUENCE     : integer := 0;
-			ENABLE_DEASSERTION_SEQUENCE   : integer := 0;
-			MIN_ASRT_TIME                 : integer := 0;
-			ASRT_DELAY0                   : integer := 0;
-			DSRT_DELAY0                   : integer := 0;
-			ASRT_REMAP0                   : integer := 0;
-			DSRT_REMAP0                   : integer := 0;
-			DSRT_QUALCNT_0                : integer := 0;
-			ASRT_DELAY1                   : integer := 0;
-			DSRT_DELAY1                   : integer := 0;
-			ASRT_REMAP1                   : integer := 1;
-			DSRT_REMAP1                   : integer := 1;
-			DSRT_QUALCNT_1                : integer := 0;
-			ASRT_DELAY2                   : integer := 0;
-			DSRT_DELAY2                   : integer := 0;
-			ASRT_REMAP2                   : integer := 2;
-			DSRT_REMAP2                   : integer := 2;
-			DSRT_QUALCNT_2                : integer := 0;
-			ASRT_DELAY3                   : integer := 0;
-			DSRT_DELAY3                   : integer := 0;
-			ASRT_REMAP3                   : integer := 3;
-			DSRT_REMAP3                   : integer := 3;
-			DSRT_QUALCNT_3                : integer := 0;
-			ASRT_DELAY4                   : integer := 0;
-			DSRT_DELAY4                   : integer := 0;
-			ASRT_REMAP4                   : integer := 4;
-			DSRT_REMAP4                   : integer := 4;
-			DSRT_QUALCNT_4                : integer := 0;
-			ASRT_DELAY5                   : integer := 0;
-			DSRT_DELAY5                   : integer := 0;
-			ASRT_REMAP5                   : integer := 5;
-			DSRT_REMAP5                   : integer := 5;
-			DSRT_QUALCNT_5                : integer := 0;
-			ASRT_DELAY6                   : integer := 0;
-			DSRT_DELAY6                   : integer := 0;
-			ASRT_REMAP6                   : integer := 6;
-			DSRT_REMAP6                   : integer := 6;
-			DSRT_QUALCNT_6                : integer := 0;
-			ASRT_DELAY7                   : integer := 0;
-			DSRT_DELAY7                   : integer := 0;
-			ASRT_REMAP7                   : integer := 7;
-			DSRT_REMAP7                   : integer := 7;
-			DSRT_QUALCNT_7                : integer := 0;
-			ASRT_DELAY8                   : integer := 0;
-			DSRT_DELAY8                   : integer := 0;
-			ASRT_REMAP8                   : integer := 8;
-			DSRT_REMAP8                   : integer := 8;
-			DSRT_QUALCNT_8                : integer := 0;
-			ASRT_DELAY9                   : integer := 0;
-			DSRT_DELAY9                   : integer := 0;
-			ASRT_REMAP9                   : integer := 9;
-			DSRT_REMAP9                   : integer := 9;
-			DSRT_QUALCNT_9                : integer := 0;
-			ENABLE_CSR                    : integer := 0
-		);
-		port (
-			clk              : in  std_logic                     := 'X';             -- clk
-			reset_in0        : in  std_logic                     := 'X';             -- reset
-			reset_out0       : out std_logic;                                        -- reset
-			reset_out1       : out std_logic;                                        -- reset
-			reset_out2       : out std_logic;                                        -- reset
-			reset_out3       : out std_logic;                                        -- reset
-			reset_out4       : out std_logic;                                        -- reset
-			reset_out5       : out std_logic;                                        -- reset
-			reset_out6       : out std_logic;                                        -- reset
-			reset_out7       : out std_logic;                                        -- reset
-			reset1_dsrt_qual : in  std_logic                     := 'X';             -- reset1_dsrt_qual
-			reset2_dsrt_qual : in  std_logic                     := 'X';             -- reset2_dsrt_qual
-			reset5_dsrt_qual : in  std_logic                     := 'X';             -- reset5_dsrt_qual
-			csr_reset        : in  std_logic                     := 'X';             -- reset
-			av_address       : in  std_logic_vector(7 downto 0)  := (others => 'X'); -- address
-			av_readdata      : out std_logic_vector(31 downto 0);                    -- readdata
-			av_read          : in  std_logic                     := 'X';             -- read
-			av_writedata     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
-			av_write         : in  std_logic                     := 'X';             -- write
-			irq              : out std_logic;                                        -- irq
-			reset_req_in0    : in  std_logic                     := 'X';             -- reset_req
-			reset_in1        : in  std_logic                     := 'X';             -- reset
-			reset_req_in1    : in  std_logic                     := 'X';             -- reset_req
-			reset_in2        : in  std_logic                     := 'X';             -- reset
-			reset_req_in2    : in  std_logic                     := 'X';             -- reset_req
-			reset_in3        : in  std_logic                     := 'X';             -- reset
-			reset_req_in3    : in  std_logic                     := 'X';             -- reset_req
-			reset_in4        : in  std_logic                     := 'X';             -- reset
-			reset_req_in4    : in  std_logic                     := 'X';             -- reset_req
-			reset_in5        : in  std_logic                     := 'X';             -- reset
-			reset_req_in5    : in  std_logic                     := 'X';             -- reset_req
-			reset_in6        : in  std_logic                     := 'X';             -- reset
-			reset_req_in6    : in  std_logic                     := 'X';             -- reset_req
-			reset_in7        : in  std_logic                     := 'X';             -- reset
-			reset_req_in7    : in  std_logic                     := 'X';             -- reset_req
-			reset_in8        : in  std_logic                     := 'X';             -- reset
-			reset_req_in8    : in  std_logic                     := 'X';             -- reset_req
-			reset_in9        : in  std_logic                     := 'X';             -- reset
-			reset_req_in9    : in  std_logic                     := 'X';             -- reset_req
-			reset_req_out0   : out std_logic;                                        -- reset_req
-			reset_req_out1   : out std_logic;                                        -- reset_req
-			reset_req_out2   : out std_logic;                                        -- reset_req
-			reset_req_out3   : out std_logic;                                        -- reset_req
-			reset_req_out4   : out std_logic;                                        -- reset_req
-			reset_req_out5   : out std_logic;                                        -- reset_req
-			reset_req_out6   : out std_logic;                                        -- reset_req
-			reset_req_out7   : out std_logic;                                        -- reset_req
-			reset_out8       : out std_logic;                                        -- reset
-			reset_req_out8   : out std_logic;                                        -- reset_req
-			reset_out9       : out std_logic;                                        -- reset
-			reset_req_out9   : out std_logic;                                        -- reset_req
-			reset0_dsrt_qual : in  std_logic                     := 'X';             -- reset_dsrt_qual
-			reset3_dsrt_qual : in  std_logic                     := 'X';             -- reset_dsrt_qual
-			reset4_dsrt_qual : in  std_logic                     := 'X';             -- reset_dsrt_qual
-			reset6_dsrt_qual : in  std_logic                     := 'X';             -- reset_dsrt_qual
-			reset7_dsrt_qual : in  std_logic                     := 'X';             -- reset_dsrt_qual
-			reset8_dsrt_qual : in  std_logic                     := 'X';             -- reset_dsrt_qual
-			reset9_dsrt_qual : in  std_logic                     := 'X'              -- reset_dsrt_qual
-		);
-	end component altera_reset_sequencer_cmp;
-
-	for reset_seq : altera_reset_sequencer_cmp
-		use entity altera_reset_sequencer_180.altera_reset_sequencer;
-begin
-
-	reset_seq : component altera_reset_sequencer_cmp
-		generic map (
-			NUM_OUTPUTS                   => NUM_OUTPUTS,
-			ENABLE_DEASSERTION_INPUT_QUAL => ENABLE_DEASSERTION_INPUT_QUAL,
-			ENABLE_ASSERTION_SEQUENCE     => ENABLE_ASSERTION_SEQUENCE,
-			ENABLE_DEASSERTION_SEQUENCE   => ENABLE_DEASSERTION_SEQUENCE,
-			MIN_ASRT_TIME                 => MIN_ASRT_TIME,
-			ASRT_DELAY0                   => ASRT_DELAY0,
-			DSRT_DELAY0                   => DSRT_DELAY0,
-			ASRT_REMAP0                   => ASRT_REMAP0,
-			DSRT_REMAP0                   => DSRT_REMAP0,
-			DSRT_QUALCNT_0                => DSRT_QUALCNT_0,
-			ASRT_DELAY1                   => ASRT_DELAY1,
-			DSRT_DELAY1                   => DSRT_DELAY1,
-			ASRT_REMAP1                   => ASRT_REMAP1,
-			DSRT_REMAP1                   => DSRT_REMAP1,
-			DSRT_QUALCNT_1                => DSRT_QUALCNT_1,
-			ASRT_DELAY2                   => ASRT_DELAY2,
-			DSRT_DELAY2                   => DSRT_DELAY2,
-			ASRT_REMAP2                   => ASRT_REMAP2,
-			DSRT_REMAP2                   => DSRT_REMAP2,
-			DSRT_QUALCNT_2                => DSRT_QUALCNT_2,
-			ASRT_DELAY3                   => ASRT_DELAY3,
-			DSRT_DELAY3                   => DSRT_DELAY3,
-			ASRT_REMAP3                   => ASRT_REMAP3,
-			DSRT_REMAP3                   => DSRT_REMAP3,
-			DSRT_QUALCNT_3                => DSRT_QUALCNT_3,
-			ASRT_DELAY4                   => ASRT_DELAY4,
-			DSRT_DELAY4                   => DSRT_DELAY4,
-			ASRT_REMAP4                   => ASRT_REMAP4,
-			DSRT_REMAP4                   => DSRT_REMAP4,
-			DSRT_QUALCNT_4                => DSRT_QUALCNT_4,
-			ASRT_DELAY5                   => ASRT_DELAY5,
-			DSRT_DELAY5                   => DSRT_DELAY5,
-			ASRT_REMAP5                   => ASRT_REMAP5,
-			DSRT_REMAP5                   => DSRT_REMAP5,
-			DSRT_QUALCNT_5                => DSRT_QUALCNT_5,
-			ASRT_DELAY6                   => ASRT_DELAY6,
-			DSRT_DELAY6                   => DSRT_DELAY6,
-			ASRT_REMAP6                   => ASRT_REMAP6,
-			DSRT_REMAP6                   => DSRT_REMAP6,
-			DSRT_QUALCNT_6                => DSRT_QUALCNT_6,
-			ASRT_DELAY7                   => ASRT_DELAY7,
-			DSRT_DELAY7                   => DSRT_DELAY7,
-			ASRT_REMAP7                   => ASRT_REMAP7,
-			DSRT_REMAP7                   => DSRT_REMAP7,
-			DSRT_QUALCNT_7                => DSRT_QUALCNT_7,
-			ASRT_DELAY8                   => ASRT_DELAY8,
-			DSRT_DELAY8                   => DSRT_DELAY8,
-			ASRT_REMAP8                   => ASRT_REMAP8,
-			DSRT_REMAP8                   => DSRT_REMAP8,
-			DSRT_QUALCNT_8                => DSRT_QUALCNT_8,
-			ASRT_DELAY9                   => ASRT_DELAY9,
-			DSRT_DELAY9                   => DSRT_DELAY9,
-			ASRT_REMAP9                   => ASRT_REMAP9,
-			DSRT_REMAP9                   => DSRT_REMAP9,
-			DSRT_QUALCNT_9                => DSRT_QUALCNT_9,
-			ENABLE_CSR                    => 1
-		)
-		port map (
-			clk              => clk,              --              clk.clk
-			reset_in0        => reset_in0,        --        reset_in0.reset
-			reset_out0       => reset_out0,       --       reset_out0.reset
-			reset_out1       => reset_out1,       --       reset_out1.reset
-			reset_out2       => reset_out2,       --       reset_out2.reset
-			reset_out3       => reset_out3,       --       reset_out3.reset
-			reset_out4       => reset_out4,       --       reset_out4.reset
-			reset_out5       => reset_out5,       --       reset_out5.reset
-			reset_out6       => reset_out6,       --       reset_out6.reset
-			reset_out7       => reset_out7,       --       reset_out7.reset
-			reset1_dsrt_qual => reset1_dsrt_qual, -- reset1_dsrt_qual.reset1_dsrt_qual
-			reset2_dsrt_qual => reset2_dsrt_qual, -- reset2_dsrt_qual.reset2_dsrt_qual
-			reset5_dsrt_qual => reset5_dsrt_qual, -- reset5_dsrt_qual.reset5_dsrt_qual
-			csr_reset        => csr_reset,        --        csr_reset.reset
-			av_address       => av_address,       --           av_csr.address
-			av_readdata      => av_readdata,      --                 .readdata
-			av_read          => av_read,          --                 .read
-			av_writedata     => av_writedata,     --                 .writedata
-			av_write         => av_write,         --                 .write
-			irq              => irq,              --       av_csr_irq.irq
-			reset_req_in0    => '0',              --      (terminated)
-			reset_in1        => '0',              --      (terminated)
-			reset_req_in1    => '0',              --      (terminated)
-			reset_in2        => '0',              --      (terminated)
-			reset_req_in2    => '0',              --      (terminated)
-			reset_in3        => '0',              --      (terminated)
-			reset_req_in3    => '0',              --      (terminated)
-			reset_in4        => '0',              --      (terminated)
-			reset_req_in4    => '0',              --      (terminated)
-			reset_in5        => '0',              --      (terminated)
-			reset_req_in5    => '0',              --      (terminated)
-			reset_in6        => '0',              --      (terminated)
-			reset_req_in6    => '0',              --      (terminated)
-			reset_in7        => '0',              --      (terminated)
-			reset_req_in7    => '0',              --      (terminated)
-			reset_in8        => '0',              --      (terminated)
-			reset_req_in8    => '0',              --      (terminated)
-			reset_in9        => '0',              --      (terminated)
-			reset_req_in9    => '0',              --      (terminated)
-			reset_req_out0   => open,             --      (terminated)
-			reset_req_out1   => open,             --      (terminated)
-			reset_req_out2   => open,             --      (terminated)
-			reset_req_out3   => open,             --      (terminated)
-			reset_req_out4   => open,             --      (terminated)
-			reset_req_out5   => open,             --      (terminated)
-			reset_req_out6   => open,             --      (terminated)
-			reset_req_out7   => open,             --      (terminated)
-			reset_out8       => open,             --      (terminated)
-			reset_req_out8   => open,             --      (terminated)
-			reset_out9       => open,             --      (terminated)
-			reset_req_out9   => open,             --      (terminated)
-			reset0_dsrt_qual => '0',              --      (terminated)
-			reset3_dsrt_qual => '0',              --      (terminated)
-			reset4_dsrt_qual => '0',              --      (terminated)
-			reset6_dsrt_qual => '0',              --      (terminated)
-			reset7_dsrt_qual => '0',              --      (terminated)
-			reset8_dsrt_qual => '0',              --      (terminated)
-			reset9_dsrt_qual => '0'               --      (terminated)
-		);
-
-end architecture rtl; -- of ip_arria10_e1sg_jesd204b_rx_reset_seq
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/synth/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/synth/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd
deleted file mode 100644
index e0d6f5d6383716f333dd8246b3ab1430038de769..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_reset_seq/synth/ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd
+++ /dev/null
@@ -1,89 +0,0 @@
--- ip_arria10_e1sg_jesd204b_rx_reset_seq.vhd
-
--- Generated using ACDS version 19.4 64
-
-library IEEE;
-library ip_arria10_e1sg_jesd204b_rx_reset_seq;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-
-entity ip_arria10_e1sg_jesd204b_rx_reset_seq is
-	port (
-		av_csr_address                    : in  std_logic_vector(7 downto 0)  := (others => '0'); --           av_csr.address
-		av_csr_readdata                   : out std_logic_vector(31 downto 0);                    --                 .readdata
-		av_csr_read                       : in  std_logic                     := '0';             --                 .read
-		av_csr_writedata                  : in  std_logic_vector(31 downto 0) := (others => '0'); --                 .writedata
-		av_csr_write                      : in  std_logic                     := '0';             --                 .write
-		irq_irq                           : out std_logic;                                        --              irq.irq
-		clk_clk                           : in  std_logic                     := '0';             --              clk.clk
-		csr_reset_reset                   : in  std_logic                     := '0';             --        csr_reset.reset
-		reset1_dsrt_qual_reset1_dsrt_qual : in  std_logic                     := '0';             -- reset1_dsrt_qual.reset1_dsrt_qual
-		reset2_dsrt_qual_reset2_dsrt_qual : in  std_logic                     := '0';             -- reset2_dsrt_qual.reset2_dsrt_qual
-		reset5_dsrt_qual_reset5_dsrt_qual : in  std_logic                     := '0';             -- reset5_dsrt_qual.reset5_dsrt_qual
-		reset_in0_reset                   : in  std_logic                     := '0';             --        reset_in0.reset
-		reset_out0_reset                  : out std_logic;                                        --       reset_out0.reset
-		reset_out1_reset                  : out std_logic;                                        --       reset_out1.reset
-		reset_out2_reset                  : out std_logic;                                        --       reset_out2.reset
-		reset_out3_reset                  : out std_logic;                                        --       reset_out3.reset
-		reset_out4_reset                  : out std_logic;                                        --       reset_out4.reset
-		reset_out5_reset                  : out std_logic;                                        --       reset_out5.reset
-		reset_out6_reset                  : out std_logic;                                        --       reset_out6.reset
-		reset_out7_reset                  : out std_logic                                         --       reset_out7.reset
-	);
-end entity ip_arria10_e1sg_jesd204b_rx_reset_seq;
-
-architecture rtl of ip_arria10_e1sg_jesd204b_rx_reset_seq is
-	component ip_arria10_e1sg_jesd204b_rx_reset_seq_cmp is
-		port (
-			av_address       : in  std_logic_vector(7 downto 0)  := (others => 'X'); -- address
-			av_readdata      : out std_logic_vector(31 downto 0);                    -- readdata
-			av_read          : in  std_logic                     := 'X';             -- read
-			av_writedata     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
-			av_write         : in  std_logic                     := 'X';             -- write
-			irq              : out std_logic;                                        -- irq
-			clk              : in  std_logic                     := 'X';             -- clk
-			csr_reset        : in  std_logic                     := 'X';             -- reset
-			reset1_dsrt_qual : in  std_logic                     := 'X';             -- reset1_dsrt_qual
-			reset2_dsrt_qual : in  std_logic                     := 'X';             -- reset2_dsrt_qual
-			reset5_dsrt_qual : in  std_logic                     := 'X';             -- reset5_dsrt_qual
-			reset_in0        : in  std_logic                     := 'X';             -- reset
-			reset_out0       : out std_logic;                                        -- reset
-			reset_out1       : out std_logic;                                        -- reset
-			reset_out2       : out std_logic;                                        -- reset
-			reset_out3       : out std_logic;                                        -- reset
-			reset_out4       : out std_logic;                                        -- reset
-			reset_out5       : out std_logic;                                        -- reset
-			reset_out6       : out std_logic;                                        -- reset
-			reset_out7       : out std_logic                                         -- reset
-		);
-	end component ip_arria10_e1sg_jesd204b_rx_reset_seq_cmp;
-
-	for reset_sequencer_0 : ip_arria10_e1sg_jesd204b_rx_reset_seq_cmp
-		use entity ip_arria10_e1sg_jesd204b_rx_reset_seq.ip_arria10_e1sg_jesd204b_rx_reset_seq;
-begin
-
-	reset_sequencer_0 : component ip_arria10_e1sg_jesd204b_rx_reset_seq_cmp
-		port map (
-			av_address       => av_csr_address,                    --           av_csr.address
-			av_readdata      => av_csr_readdata,                   --                 .readdata
-			av_read          => av_csr_read,                       --                 .read
-			av_writedata     => av_csr_writedata,                  --                 .writedata
-			av_write         => av_csr_write,                      --                 .write
-			irq              => irq_irq,                           --       av_csr_irq.irq
-			clk              => clk_clk,                           --              clk.clk
-			csr_reset        => csr_reset_reset,                   --        csr_reset.reset
-			reset1_dsrt_qual => reset1_dsrt_qual_reset1_dsrt_qual, -- reset1_dsrt_qual.reset1_dsrt_qual
-			reset2_dsrt_qual => reset2_dsrt_qual_reset2_dsrt_qual, -- reset2_dsrt_qual.reset2_dsrt_qual
-			reset5_dsrt_qual => reset5_dsrt_qual_reset5_dsrt_qual, -- reset5_dsrt_qual.reset5_dsrt_qual
-			reset_in0        => reset_in0_reset,                   --        reset_in0.reset
-			reset_out0       => reset_out0_reset,                  --       reset_out0.reset
-			reset_out1       => reset_out1_reset,                  --       reset_out1.reset
-			reset_out2       => reset_out2_reset,                  --       reset_out2.reset
-			reset_out3       => reset_out3_reset,                  --       reset_out3.reset
-			reset_out4       => reset_out4_reset,                  --       reset_out4.reset
-			reset_out5       => reset_out5_reset,                  --       reset_out5.reset
-			reset_out6       => reset_out6_reset,                  --       reset_out6.reset
-			reset_out7       => reset_out7_reset                   --       reset_out7.reset
-		);
-
-end architecture rtl; -- of ip_arria10_e1sg_jesd204b_rx_reset_seq
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip
index e638f164fcf9f63bf331cb08f68e4c5a0bc34984..43cda95f9cf96df99729dc0b24eed28ba2e0413b 100644
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip
+++ b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip
@@ -1,663 +1,601 @@
 <?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>Intel Corporation</ipxact:vendor>
-  <ipxact:library>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</ipxact:library>
-  <ipxact:name>xcvr_reset_control_0</ipxact:name>
-  <ipxact:version>19.1</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>clock</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>clock</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_analogreset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_analogreset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_analogreset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009">
+  <spirit:vendor>Intel Corporation</spirit:vendor>
+  <spirit:library>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</spirit:library>
+  <spirit:name>xcvr_reset_control_0</spirit:name>
+  <spirit:version>18.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>clock</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>clk</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clock</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>clockRate</spirit:name>
+          <spirit:displayName>Clock rate</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>externallyDriven</spirit:name>
+          <spirit:displayName>Externally driven</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ptfSchematicName</spirit:name>
+          <spirit:displayName>PTF schematic name</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>Associated clock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>synchronousEdges</spirit:name>
+          <spirit:displayName>Synchronous edges</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_analogreset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_analogreset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_analogreset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_digitalreset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_digitalreset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_digitalreset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_cal_busy</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_cal_busy</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_cal_busy</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_ready</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_ready</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_ready</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_digitalreset</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_digitalreset</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_digitalreset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>output</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_is_lockedtodata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_is_lockedtodata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_is_lockedtodata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_is_lockedtodata</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_is_lockedtodata</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_is_lockedtodata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>rx_cal_busy</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>rx_cal_busy</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>rx_cal_busy</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>rx_ready</spirit:name>
+      <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType>
+      <spirit:slave></spirit:slave>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>rx_ready</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>rx_ready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>associatedClock</spirit:name>
+          <spirit:displayName>associatedClock</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>associatedReset</spirit:name>
+          <spirit:displayName>associatedReset</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>prSafe</spirit:name>
+          <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
         <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string">
-              <ipxact:name>ui.blockdiagram.direction</ipxact:name>
-              <ipxact:value>input</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
+          <spirit:parameters>
+            <spirit:parameter>
+              <spirit:name>ui.blockdiagram.direction</spirit:name>
+              <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value>
+            </spirit:parameter>
+          </spirit:parameters>
         </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>altera_xcvr_reset_control</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>clock</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_analogreset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_digitalreset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_ready</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_is_lockedtodata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>rx_cal_busy</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>11</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>QUARTUS_SYNTH</spirit:name>
+        <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier>
+        <spirit:modelName>altera_xcvr_reset_control</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>QUARTUS_SYNTH</spirit:localName>
+        </spirit:fileSetRef>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>clock</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_analogreset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_digitalreset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_ready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_is_lockedtodata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>rx_cal_busy</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left>0</spirit:left>
+            <spirit:right>11</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName>
+              <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+  </spirit:model>
+  <spirit:vendorExtensions>
     <altera:entity_info>
-      <ipxact:vendor>Intel Corporation</ipxact:vendor>
-      <ipxact:library>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</ipxact:library>
-      <ipxact:name>altera_xcvr_reset_control</ipxact:name>
-      <ipxact:version>19.1</ipxact:version>
+      <spirit:vendor>Intel Corporation</spirit:vendor>
+      <spirit:library>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</spirit:library>
+      <spirit:name>altera_xcvr_reset_control</spirit:name>
+      <spirit:version>18.0</spirit:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device_family" type="string">
-          <ipxact:name>device_family</ipxact:name>
-          <ipxact:displayName>device_family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="CHANNELS" type="int">
-          <ipxact:name>CHANNELS</ipxact:name>
-          <ipxact:displayName>Number of transceiver channels</ipxact:displayName>
-          <ipxact:value>12</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="PLLS" type="int">
-          <ipxact:name>PLLS</ipxact:name>
-          <ipxact:displayName>Number of TX PLLs</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SYS_CLK_IN_MHZ" type="int">
-          <ipxact:name>SYS_CLK_IN_MHZ</ipxact:name>
-          <ipxact:displayName>Input clock frequency</ipxact:displayName>
-          <ipxact:value>100</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SYNCHRONIZE_RESET" type="int">
-          <ipxact:name>SYNCHRONIZE_RESET</ipxact:name>
-          <ipxact:displayName>Synchronize reset input</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="REDUCED_SIM_TIME" type="int">
-          <ipxact:name>REDUCED_SIM_TIME</ipxact:name>
-          <ipxact:displayName>Use fast reset for simulation</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_split_interfaces" type="int">
-          <ipxact:name>gui_split_interfaces</ipxact:name>
-          <ipxact:displayName>Separate interface per channel/PLL</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="TX_PLL_ENABLE" type="int">
-          <ipxact:name>TX_PLL_ENABLE</ipxact:name>
-          <ipxact:displayName>Enable TX PLL reset control</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="T_PLL_POWERDOWN" type="int">
-          <ipxact:name>T_PLL_POWERDOWN</ipxact:name>
-          <ipxact:displayName>pll_powerdown duration</ipxact:displayName>
-          <ipxact:value>1000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="SYNCHRONIZE_PLL_RESET" type="int">
-          <ipxact:name>SYNCHRONIZE_PLL_RESET</ipxact:name>
-          <ipxact:displayName>Synchronize reset input for PLL powerdown</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="TX_ENABLE" type="int">
-          <ipxact:name>TX_ENABLE</ipxact:name>
-          <ipxact:displayName>Enable TX channel reset control</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="TX_PER_CHANNEL" type="int">
-          <ipxact:name>TX_PER_CHANNEL</ipxact:name>
-          <ipxact:displayName>Use separate TX reset per channel</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_tx_auto_reset" type="int">
-          <ipxact:name>gui_tx_auto_reset</ipxact:name>
-          <ipxact:displayName>TX digital reset mode</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="T_TX_ANALOGRESET" type="int">
-          <ipxact:name>T_TX_ANALOGRESET</ipxact:name>
-          <ipxact:displayName>tx_analogreset duration</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="T_TX_DIGITALRESET" type="int">
-          <ipxact:name>T_TX_DIGITALRESET</ipxact:name>
-          <ipxact:displayName>tx_digitalreset duration</ipxact:displayName>
-          <ipxact:value>20</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="T_PLL_LOCK_HYST" type="int">
-          <ipxact:name>T_PLL_LOCK_HYST</ipxact:name>
-          <ipxact:displayName>pll_locked input hysteresis</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_pll_cal_busy" type="int">
-          <ipxact:name>gui_pll_cal_busy</ipxact:name>
-          <ipxact:displayName>Enable pll_cal_busy input port</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="EN_PLL_CAL_BUSY" type="int">
-          <ipxact:name>EN_PLL_CAL_BUSY</ipxact:name>
-          <ipxact:displayName>EN_PLL_CAL_BUSY</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="RX_ENABLE" type="int">
-          <ipxact:name>RX_ENABLE</ipxact:name>
-          <ipxact:displayName>Enable RX channel reset control</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="RX_PER_CHANNEL" type="int">
-          <ipxact:name>RX_PER_CHANNEL</ipxact:name>
-          <ipxact:displayName>Use separate RX reset per channel</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="gui_rx_auto_reset" type="int">
-          <ipxact:name>gui_rx_auto_reset</ipxact:name>
-          <ipxact:displayName>RX digital reset mode</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="T_RX_ANALOGRESET" type="int">
-          <ipxact:name>T_RX_ANALOGRESET</ipxact:name>
-          <ipxact:displayName>rx_analogreset duration</ipxact:displayName>
-          <ipxact:value>70000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="T_RX_DIGITALRESET" type="int">
-          <ipxact:name>T_RX_DIGITALRESET</ipxact:name>
-          <ipxact:displayName>rx_digitalreset duration</ipxact:displayName>
-          <ipxact:value>4000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_terminate_pll" type="int">
-          <ipxact:name>l_terminate_pll</ipxact:name>
-          <ipxact:displayName>l_terminate_pll</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_terminate_tx" type="int">
-          <ipxact:name>l_terminate_tx</ipxact:name>
-          <ipxact:displayName>l_terminate_tx</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_terminate_rx" type="int">
-          <ipxact:name>l_terminate_rx</ipxact:name>
-          <ipxact:displayName>l_terminate_rx</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_terminate_tx_manual" type="int">
-          <ipxact:name>l_terminate_tx_manual</ipxact:name>
-          <ipxact:displayName>l_terminate_tx_manual</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_terminate_rx_manual" type="int">
-          <ipxact:name>l_terminate_rx_manual</ipxact:name>
-          <ipxact:displayName>l_terminate_rx_manual</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_tx_manual_term" type="int">
-          <ipxact:name>l_tx_manual_term</ipxact:name>
-          <ipxact:displayName>l_tx_manual_term</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_rx_manual_term" type="int">
-          <ipxact:name>l_rx_manual_term</ipxact:name>
-          <ipxact:displayName>l_rx_manual_term</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_pll_select_split" type="int">
-          <ipxact:name>l_pll_select_split</ipxact:name>
-          <ipxact:displayName>l_pll_select_split</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_pll_select_width" type="int">
-          <ipxact:name>l_pll_select_width</ipxact:name>
-          <ipxact:displayName>l_pll_select_width</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="l_pll_select_base" type="int">
-          <ipxact:name>l_pll_select_base</ipxact:name>
-          <ipxact:displayName>l_pll_select_base</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device_family</spirit:name>
+          <spirit:displayName>device_family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device_family">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CHANNELS</spirit:name>
+          <spirit:displayName>Number of transceiver channels</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="CHANNELS">12</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PLLS</spirit:name>
+          <spirit:displayName>Number of TX PLLs</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="PLLS">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SYS_CLK_IN_MHZ</spirit:name>
+          <spirit:displayName>Input clock frequency</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="SYS_CLK_IN_MHZ">100</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SYNCHRONIZE_RESET</spirit:name>
+          <spirit:displayName>Synchronize reset input</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="SYNCHRONIZE_RESET">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>REDUCED_SIM_TIME</spirit:name>
+          <spirit:displayName>Use fast reset for simulation</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="REDUCED_SIM_TIME">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_split_interfaces</spirit:name>
+          <spirit:displayName>Separate interface per channel/PLL</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_split_interfaces">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>TX_PLL_ENABLE</spirit:name>
+          <spirit:displayName>Enable TX PLL reset control</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="TX_PLL_ENABLE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>T_PLL_POWERDOWN</spirit:name>
+          <spirit:displayName>pll_powerdown duration</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="T_PLL_POWERDOWN">1000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SYNCHRONIZE_PLL_RESET</spirit:name>
+          <spirit:displayName>Synchronize reset input for PLL powerdown</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="SYNCHRONIZE_PLL_RESET">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>TX_ENABLE</spirit:name>
+          <spirit:displayName>Enable TX channel reset control</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="TX_ENABLE">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>TX_PER_CHANNEL</spirit:name>
+          <spirit:displayName>Use separate TX reset per channel</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="TX_PER_CHANNEL">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_tx_auto_reset</spirit:name>
+          <spirit:displayName>TX digital reset mode</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_tx_auto_reset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>T_TX_ANALOGRESET</spirit:name>
+          <spirit:displayName>tx_analogreset duration</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="T_TX_ANALOGRESET">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>T_TX_DIGITALRESET</spirit:name>
+          <spirit:displayName>tx_digitalreset duration</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="T_TX_DIGITALRESET">20</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>T_PLL_LOCK_HYST</spirit:name>
+          <spirit:displayName>pll_locked input hysteresis</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="T_PLL_LOCK_HYST">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_pll_cal_busy</spirit:name>
+          <spirit:displayName>Enable pll_cal_busy input port</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_pll_cal_busy">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>EN_PLL_CAL_BUSY</spirit:name>
+          <spirit:displayName>EN_PLL_CAL_BUSY</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="EN_PLL_CAL_BUSY">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RX_ENABLE</spirit:name>
+          <spirit:displayName>Enable RX channel reset control</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="RX_ENABLE">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RX_PER_CHANNEL</spirit:name>
+          <spirit:displayName>Use separate RX reset per channel</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="RX_PER_CHANNEL">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>gui_rx_auto_reset</spirit:name>
+          <spirit:displayName>RX digital reset mode</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="gui_rx_auto_reset">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>T_RX_ANALOGRESET</spirit:name>
+          <spirit:displayName>rx_analogreset duration</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="T_RX_ANALOGRESET">70000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>T_RX_DIGITALRESET</spirit:name>
+          <spirit:displayName>rx_digitalreset duration</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="T_RX_DIGITALRESET">4000</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_terminate_pll</spirit:name>
+          <spirit:displayName>l_terminate_pll</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_terminate_pll">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_terminate_tx</spirit:name>
+          <spirit:displayName>l_terminate_tx</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_terminate_tx">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_terminate_rx</spirit:name>
+          <spirit:displayName>l_terminate_rx</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_terminate_rx">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_terminate_tx_manual</spirit:name>
+          <spirit:displayName>l_terminate_tx_manual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_terminate_tx_manual">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_terminate_rx_manual</spirit:name>
+          <spirit:displayName>l_terminate_rx_manual</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_terminate_rx_manual">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_tx_manual_term</spirit:name>
+          <spirit:displayName>l_tx_manual_term</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_tx_manual_term">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_rx_manual_term</spirit:name>
+          <spirit:displayName>l_rx_manual_term</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_rx_manual_term">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_pll_select_split</spirit:name>
+          <spirit:displayName>l_pll_select_split</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_pll_select_split">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_pll_select_width</spirit:name>
+          <spirit:displayName>l_pll_select_width</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_pll_select_width">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>l_pll_select_base</spirit:name>
+          <spirit:displayName>l_pll_select_base</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="l_pll_select_base">1</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_module_parameters>
     <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U2F45E1SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>device</spirit:name>
+          <spirit:displayName>Device</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceFamily</spirit:name>
+          <spirit:displayName>Device family</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>deviceSpeedGrade</spirit:name>
+          <spirit:displayName>Device Speed Grade</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>generationId</spirit:name>
+          <spirit:displayName>Generation Id</spirit:displayName>
+          <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>bonusData</spirit:name>
+          <spirit:displayName>bonusData</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="bonusData">bonusData 
 {
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
    element xcvr_reset_control_0
    {
       datum _sortIndex
@@ -667,276 +605,26 @@
       }
    }
 }
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clock&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;clock&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_analogreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_analogreset&lt;/name&gt;
-                    &lt;role&gt;rx_analogreset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_digitalreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_digitalreset&lt;/name&gt;
-                    &lt;role&gt;rx_digitalreset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_ready&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_ready&lt;/name&gt;
-                    &lt;role&gt;rx_ready&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-                    &lt;role&gt;rx_is_lockedtodata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_cal_busy&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_cal_busy&lt;/name&gt;
-                    &lt;role&gt;rx_cal_busy&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos/&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
+</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>hideFromIPCatalog</spirit:name>
+          <spirit:displayName>Hide from IP Catalog</spirit:displayName>
+          <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>lockedInterfaceDefinition</spirit:name>
+          <spirit:displayName>lockedInterfaceDefinition</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"></spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>systemInfos</spirit:name>
+          <spirit:displayName>systemInfos</spirit:displayName>
+          <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos/>
+</systemInfosDefinition>]]></spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
       <altera:interface_mapping altera:name="clock" altera:internal="xcvr_reset_control_0.clock" altera:type="clock" altera:dir="end">
@@ -970,5 +658,5 @@
     </altera:altera_interface_boundary>
     <altera:altera_has_warnings>false</altera:altera_has_warnings>
     <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
+  </spirit:vendorExtensions>
+</spirit:component>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys
deleted file mode 100644
index 3f9072ab734ad6fa36f95bcbaef52b791d934f5a..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys
+++ /dev/null
@@ -1,639 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<system name="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12">
- <component
-   name="$${FILENAME}"
-   displayName="$${FILENAME}"
-   version="1.0"
-   description=""
-   tags=""
-   categories="System"
-   tool="QsysPro" />
- <parameter name="bonusData"><![CDATA[bonusData 
-{
-   element $system
-   {
-   }
-   element xcvr_reset_control_0
-   {
-      datum _sortIndex
-      {
-         value = "0";
-         type = "int";
-      }
-   }
-}
-]]></parameter>
- <parameter name="device" value="10AX115U2F45E1SG" />
- <parameter name="deviceFamily" value="Arria 10" />
- <parameter name="deviceSpeedGrade" value="1" />
- <parameter name="fabricMode" value="QSYS" />
- <parameter name="generateLegacySim" value="false" />
- <parameter name="generationId" value="0" />
- <parameter name="globalResetBus" value="false" />
- <parameter name="hdlLanguage" value="VERILOG" />
- <parameter name="hideFromIPCatalog" value="false" />
- <parameter name="lockedInterfaceDefinition" value="" />
- <parameter name="sopcBorderPoints" value="false" />
- <parameter name="systemHash" value="0" />
- <parameter name="systemInfos"><![CDATA[<systemInfosDefinition>
-    <connPtSystemInfos/>
-</systemInfosDefinition>]]></parameter>
- <parameter name="systemScripts" value="" />
- <parameter name="testBenchDutName" value="" />
- <parameter name="timeStamp" value="0" />
- <parameter name="useTestBenchNamingPattern" value="false" />
- <instanceScript></instanceScript>
- <interface
-   name="clock"
-   internal="xcvr_reset_control_0.clock"
-   type="clock"
-   dir="end" />
- <interface name="pll_powerdown" internal="xcvr_reset_control_0.pll_powerdown" />
- <interface
-   name="reset"
-   internal="xcvr_reset_control_0.reset"
-   type="reset"
-   dir="end" />
- <interface
-   name="rx_analogreset"
-   internal="xcvr_reset_control_0.rx_analogreset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_cal_busy"
-   internal="xcvr_reset_control_0.rx_cal_busy"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_digitalreset"
-   internal="xcvr_reset_control_0.rx_digitalreset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_is_lockedtodata"
-   internal="xcvr_reset_control_0.rx_is_lockedtodata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="rx_ready"
-   internal="xcvr_reset_control_0.rx_ready"
-   type="conduit"
-   dir="end" />
- <module
-   name="xcvr_reset_control_0"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
-  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>clock</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>clock</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_analogreset</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_analogreset</name>
-                        <role>rx_analogreset</role>
-                        <direction>Output</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_cal_busy</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_cal_busy</name>
-                        <role>rx_cal_busy</role>
-                        <direction>Input</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_digitalreset</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_digitalreset</name>
-                        <role>rx_digitalreset</role>
-                        <direction>Output</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_is_lockedtodata</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_is_lockedtodata</name>
-                        <role>rx_is_lockedtodata</role>
-                        <direction>Input</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_ready</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_ready</name>
-                        <role>rx_ready</role>
-                        <direction>Output</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_xcvr_reset_control</className>
-        <version>19.1</version>
-        <displayName>Transceiver PHY Reset Controller Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue>Stratix V</parameterDefaultValue>
-                <parameterName>device_family</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_FAMILY</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos/>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clock</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clock</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_analogreset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_analogreset</name>
-                    <role>rx_analogreset</role>
-                    <direction>Output</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_cal_busy</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_cal_busy</name>
-                    <role>rx_cal_busy</role>
-                    <direction>Input</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_digitalreset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_digitalreset</name>
-                    <role>rx_digitalreset</role>
-                    <direction>Output</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_is_lockedtodata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_is_lockedtodata</name>
-                    <role>rx_is_lockedtodata</role>
-                    <direction>Input</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_ready</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_ready</name>
-                    <role>rx_ready</role>
-                    <direction>Output</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
-  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></parameter>
-  <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip</parameter>
-  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
-    <assignmentValueMap/>
-</assignmentDefinition>]]></parameter>
-  <parameter name="svInterfaceDefinition" value="" />
- </module>
-</system>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/aldec_files.txt b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/aldec_files.txt
deleted file mode 100644
index d5fd5374d7dd576eefc57987f65074b7c2d15437..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/aldec_files.txt
+++ /dev/null
@@ -1,4 +0,0 @@
-./altera_xcvr_functions.sv
-./alt_xcvr_resync.sv
-./altera_xcvr_reset_control.sv
-./alt_xcvr_reset_counter.sv
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv
deleted file mode 100644
index 5aa5f28898de7812a836a38357ca16308984a4eb..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv
+++ /dev/null
@@ -1,127 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-
-//  File Name: alt_xcvr_reset_counter
-//
-//  Description:  
-//
-//    A simple counter targeted for a reset controller. The parameters specify the clock domain's
-//  clock frequency and the desired reset period specified in nanoseconds. The caller may specify
-//  the active level of the internal reset flop.
-//
-//    The async_req input signal is active high. The reset outputs
-//  will remain asserted while async_req is asserted and will not deassert
-//  until the specified reset period has expired.
-//    The sync_req input behaves similar to the async_req but is sampled
-//  synchronously with the clock.
-//    The "reset_or" input does not reset the counter but directly asserts the reset output flop.
-//  The reset outputs will remain asserted so long as "reset_or" is asserted.
-//
-//  Revision History: 
-//
-//  Special notes:
-//
-
-`timescale 1ns / 1ns
-
-module  alt_xcvr_reset_counter #(
-    parameter CLKS_PER_SEC  = 25000000, // Clock frequency in Hz
-    parameter RESET_PER_NS  = 1000000,  // Reset period in ns
-    parameter RESET_COUNT   = 0,        // Overrides RESET_PER_NS
-    parameter ACTIVE_LEVEL  = 1         //
-) (
-  input         clk,
-  input         async_req,  // asynchronous reset request (restart sequence)
-  input         sync_req,   // synchronous reset request
-  input         reset_or,   // auxilliary reset override (assert only)
-
-  output        reset,      // synchronous reset out
-  output        reset_n,    // negation of reset
-  output        reset_stat  // reset status (intended for control logic)
-);
-
-// Determine unrounded counter limit based on passed frequency
-localparam  [63:0] INITIAL_COUNT  = (CLKS_PER_SEC * RESET_PER_NS) / 1000000000;
-// Round counter limit up if needed
-localparam  [63:0] ROUND_COUNT    = (((INITIAL_COUNT * 1000000000) / CLKS_PER_SEC) < RESET_PER_NS)
-                            ? (INITIAL_COUNT + 1) : INITIAL_COUNT;
-// Use given counter limit if provided (RESET_COUNT), otherwise use calculated counter limit
-localparam  MAX_CNT = (RESET_COUNT == 0) ? ROUND_COUNT - 1 : RESET_COUNT - 1;
-localparam  CNT_WIDTH = altera_xcvr_functions::clogb2(MAX_CNT);
-// 1 bit wide active level
-localparam  LCL_ACTIVE_LEVEL  = (ACTIVE_LEVEL == 0) ? 1'b0 : 1'b1;
-
-// Counter signals
-reg [CNT_WIDTH-1:0] count = {CNT_WIDTH{1'b0}};
-wire                count_lim;
-
-// Internal reset signals
-(* dont_merge *) 
-reg   r_reset;      // Reset output register
-reg   r_reset_stat; // Reset status register
-wire  reset_cond;   // Condition for reset
-
-// Reset counter
-//***************************************************************************
-//**************************** Reset counter ********************************
-assign  count_lim = (count == MAX_CNT);
-always @(posedge clk or posedge async_req)
-  if(async_req)       count <= {CNT_WIDTH{1'b0}};
-  else if(sync_req)   count <= {CNT_WIDTH{1'b0}};
-  else if(~count_lim) count <= count + 1'b1;
-//************************** End Reset counter ******************************
-//***************************************************************************
-
-
-//***************************************************************************
-//********************** Internal reset register ****************************
-// The condition for a reset (other than asynchrnous reset) are:
-// 1 - Synchronous reset request
-// 2 - Timer has not expired.
-// 3 - Reset override is asserted
-assign  reset_cond  = (sync_req | ~count_lim | reset_or);
-
-// Reset register
-always @(posedge clk or posedge async_req)
-  if(async_req)     r_reset <= LCL_ACTIVE_LEVEL;
-  else              r_reset <= ~(reset_cond ^ LCL_ACTIVE_LEVEL);
-
-// External reset status generation. We create a status of the reset
-// output simply so we can allow the actual reset output to drive resets
-// with limited fanout to other logic. This status output is intended
-// to be used for reset control logic.
-assign  reset_stat  = r_reset_stat;
-always @(posedge clk or posedge async_req)
-  if(async_req)     r_reset_stat  <= 1'b1;
-  else              r_reset_stat  <= reset_cond;
-//******************** End Internal reset register **************************
-//***************************************************************************
-
-
-//***************************************************************************
-//*********************** Reset output generation ***************************
-// External reset generation
-generate if (ACTIVE_LEVEL == 0) begin : g_active_low_resets
-  assign  reset   = ~r_reset;
-  assign  reset_n = r_reset;
-end else begin : g_active_high_resets
-  assign  reset   = r_reset;
-  assign  reset_n = ~r_reset;
-end
-endgenerate
-//********************* End Reset output generation *************************
-//***************************************************************************
-
-endmodule
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv
deleted file mode 100644
index fc1d59ea2b5468aebebdf2d01a16a52d1f88b804..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv
+++ /dev/null
@@ -1,95 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// Module: alt_xcvr_resync
-//
-// Description:
-//  A general purpose resynchronization module.
-//  
-//  Parameters:
-//    SYNC_CHAIN_LENGTH
-//      - Specifies the length of the synchronizer chain for metastability
-//        retiming.
-//    WIDTH
-//      - Specifies the number of bits you want to synchronize. Controls the width of the
-//        d and q ports.
-//    SLOW_CLOCK - USE WITH CAUTION. 
-//      - Leaving this setting at its default will create a standard resynch circuit that
-//        merely passes the input data through a chain of flip-flops. This setting assumes
-//        that the input data has a pulse width longer than one clock cycle sufficient to
-//        satisfy setup and hold requirements on at least one clock edge.
-//      - By setting this to 1 (USE CAUTION) you are creating an asynchronous
-//        circuit that will capture the input data regardless of the pulse width and 
-//        its relationship to the clock. However it is more difficult to apply static
-//        timing constraints as it ties the data input to the clock input of the flop.
-//        This implementation assumes the data rate is slow enough
-//    INIT_VALUE
-//      - Specifies the initial values of the synchronization registers.
-//
-// Apply embedded false path timing constraint
-(* altera_attribute  = "-name SDC_STATEMENT \"set regs [get_registers -nowarn *alt_xcvr_resync*sync_r[0]]; if {[llength [query_collection -report -all $regs]] > 0} {set_false_path -to $regs}\"" *)
-
-`timescale 1ps/1ps 
-
-module alt_xcvr_resync #(
-    parameter SYNC_CHAIN_LENGTH = 2,  // Number of flip-flops for retiming. Must be >1
-    parameter WIDTH             = 1,  // Number of bits to resync
-    parameter SLOW_CLOCK        = 0,  // See description above
-    parameter INIT_VALUE        = 0
-  ) (
-  input   wire              clk,
-  input   wire              reset,
-  input   wire  [WIDTH-1:0] d,
-  output  wire  [WIDTH-1:0] q
-  );
-
-localparam  INT_LEN       = (SYNC_CHAIN_LENGTH > 1) ? SYNC_CHAIN_LENGTH : 2;
-localparam  [INT_LEN-1:0] L_INIT_VALUE = (INIT_VALUE == 1) ? {INT_LEN{1'b1}} : {INT_LEN{1'b0}};
-
-genvar ig;
-
-// Generate a synchronizer chain for each bit
-generate for(ig=0;ig<WIDTH;ig=ig+1) begin : resync_chains
-    wire                d_in;   // Input to sychronization chain.
-    (* altera_attribute  = "disable_da_rule=D103" *)
-    reg   [INT_LEN-1:0] sync_r = L_INIT_VALUE;
-
-    assign  q[ig]   = sync_r[INT_LEN-1]; // Output signal
-
-    always @(posedge clk or posedge reset)
-      if(reset)
-        sync_r  <= L_INIT_VALUE;
-      else
-        sync_r  <= {sync_r[INT_LEN-2:0],d_in};
-
-    // Generate asynchronous capture circuit if specified.
-    if(SLOW_CLOCK == 0) begin
-      assign  d_in = d[ig];
-    end else begin
-      wire  d_clk;
-      reg   d_r = L_INIT_VALUE[0];
-      wire  clr_n;
-
-      assign  d_clk = d[ig];
-      assign  d_in  = d_r;
-      assign  clr_n = ~q[ig] | d_clk; // Clear when output is logic 1 and input is logic 0
-
-      // Asynchronously latch the input signal.
-      always @(posedge d_clk or negedge clr_n)
-        if(!clr_n)      d_r <= 1'b0;
-        else if(d_clk)  d_r <= 1'b1;
-    end // SLOW_CLOCK
-  end // for loop
-endgenerate
-
-endmodule
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv
deleted file mode 100644
index 1416fe72a0ee73a7e1caa8df3bfba7a39cbb96ab..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv
+++ /dev/null
@@ -1,906 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-//
-// Common functions for transceiver PHY IP
-//
-// $Header$
-//
-// PACKAGE DECLARATION
-package altera_xcvr_functions;
-	localparam integer MAX_CHARS = 86; // To accomodate LONG parameter lists.
-	localparam integer MAX_STRS = 16;
-  localparam integer MAX_XCVR_CHANNELS = 64;
-
-
-  // Reconfiguration bundle widths per family
-  localparam integer W_S5_RECONFIG_BUNDLE_TO_XCVR    = 70;
-  localparam integer W_S5_RECONFIG_BUNDLE_FROM_XCVR  = 46;
-  localparam integer W_A5_RECONFIG_BUNDLE_TO_XCVR    = 70;
-  localparam integer W_A5_RECONFIG_BUNDLE_FROM_XCVR  = 46;
-  localparam integer W_S4_RECONFIG_BUNDLE_TO_XCVR    = 4;
-  localparam integer W_S4_RECONFIG_BUNDLE_FROM_XCVR  = 17;
-  localparam integer W_C4_RECONFIG_BUNDLE_TO_XCVR    = 4;
-  localparam integer W_C4_RECONFIG_BUNDLE_FROM_XCVR  = 17;
-
-  // Reconfiguration bundle widths per family
-  localparam integer W_S5_RECONFIG_BUNDLE_TO_GXB    = W_S5_RECONFIG_BUNDLE_TO_XCVR;
-  localparam integer W_S5_RECONFIG_BUNDLE_FROM_GXB  = W_S5_RECONFIG_BUNDLE_FROM_XCVR;
-  localparam integer W_A5_RECONFIG_BUNDLE_TO_GXB    = W_A5_RECONFIG_BUNDLE_TO_XCVR;
-  localparam integer W_A5_RECONFIG_BUNDLE_FROM_GXB  = W_A5_RECONFIG_BUNDLE_FROM_XCVR;
-  localparam integer W_S4_RECONFIG_BUNDLE_TO_GXB    = W_S4_RECONFIG_BUNDLE_TO_XCVR;
-  localparam integer W_S4_RECONFIG_BUNDLE_FROM_GXB  = W_S4_RECONFIG_BUNDLE_FROM_XCVR;
-  localparam integer W_C4_RECONFIG_BUNDLE_TO_GXB    = W_C4_RECONFIG_BUNDLE_TO_XCVR;
-  localparam integer W_C4_RECONFIG_BUNDLE_FROM_GXB  = W_C4_RECONFIG_BUNDLE_FROM_XCVR;
-
-	// convert frequency string into integer Hz.  Fractional Hz are truncated
-	// Must remain a constant function - can't use string.atoi().
-	function time str2hz (
-		input [8*MAX_CHARS:1] s
-	);
-
-		integer i;
-		integer c; // temp char storage for frequency conversion
-		integer unit_tens; // assume already Hz
-		integer is_numeric;
-		integer saw_dot;
-		
-		reg [8:1] c_dot; // = ".";
-		reg [8:1] c_space; // = " ";
-		reg [8:1] c_a; // = 8'h61; //"a";
-		reg [8:1] c_z; // = 8'h7a; //"z";
-		reg [8*4:1] s_unit;
-                reg [8*MAX_CHARS:1] s_shift;
-		
-		begin
-			// frequency ratio calculations
-			str2hz = 0;
-			unit_tens = 0; // assume already Hz
-			is_numeric = 1;
-			saw_dot = 0;
-			s_unit = "";
-			
-			// Modelsim optimizer bug forces us to initialize these non-statically
-			c_dot = ".";
-			c_space = " ";
-			c_a = "a";
-			c_z = "z";
-			for (i=(MAX_CHARS-1); i>=0; i=i-1) begin
-                                s_shift = (s >> (i*8));
-				c = s_shift[8:1] & 8'hff;
-				if (c > 0) begin
-					//$display("[%d] => '%1s',", i, c);
-					if (c >= 8'h30 && c <= 8'h39 && is_numeric) begin
-						str2hz = (str2hz * 10) + (c & 8'h0f);
-						if (saw_dot) unit_tens = unit_tens - 1;  // count digits after decimal point
-					end else if (c == c_dot) saw_dot = 1;
-					else if (c != c_space) begin
-						is_numeric = 0;	// stop accepting new numeric digits in value
-						// if it's a-z, convert to upper case A-Z
-						if (c >= c_a && c <= c_z) c = (c & 8'h5f);	// convert a-z (lower) to A-Z (upper)
-						s_unit = (s_unit << 8) | c;
-					end
-				end
-			end
-			//$display("numeric = %d x 10**(%2d), unit = '%0s'", str2hz, unit_tens, s_unit);
-			
-			// account for frequency unit
-			if (s_unit == "GHZ" || s_unit == "GBPS") unit_tens = unit_tens + 9; // 10**9
-			else if (s_unit == "MHZ" || s_unit == "MBPS") unit_tens = unit_tens + 6; // 10**6
-			else if (s_unit == "KHZ" || s_unit == "KBPS") unit_tens = unit_tens + 3; // 10**3
-			else if (s_unit != "HZ" && s_unit != "BPS") begin
-				$display("Invalid frequency unit '%0s', assuming %d x 10**(%2d) 'Hz'", s_unit, str2hz, unit_tens);
-			end
-			//$display("numeric in Hz = %d x 10**(%2d)", str2hz, unit_tens);
-
-			// align numeric to Hz
-			if (unit_tens < 0) begin
-				//str2hz = str2hz / (10**(-unit_tens));
-				for (i=0; i>unit_tens; i=i-1) begin
-					str2hz = str2hz / 10;
-				end
-			end else begin
-				//str2hz = str2hz * (10**unit_tens);
-				for (i=0; i<unit_tens; i=i+1) begin
-					str2hz = str2hz * 10;
-				end
-			end
-			//$display("%d Hz", str2hz);
-		end
-	endfunction
-	
-	// convert integer Hz to a frequency string
-	// integer Hz as type time, and the frequency string will use MHz units
-	// Must remain a constant function - can't use $sformat or string.itoa().
-	function [MAX_CHARS*8-1:0] hz2str (
-		input time hz
-	);
-		integer pos;
-		integer f_unit;	// 10**f_unit is offset from Hz for larger unit
-                time hz_mod_10;
-		begin
-			hz2str = "0.000000 MHz";	// minimum string value
-			f_unit = 6;	// MHz offsets Hz value by 6 decimal digits
-
-			// convert time back to string with frequency units
-			// char positions 3 to 0 are used by " MHz", so start with digits at pos 4
-			for (pos = 4; pos < MAX_CHARS && hz > 0; pos = pos + 1) begin
-				if (f_unit == 0) begin
-					hz2str[pos*8 +: 8] = 8'h2e;	// add "." character
-					pos = pos + 1;
-				end
-				f_unit = f_unit - 1;
-                                hz_mod_10 = (hz % 10);
-				hz2str[pos*8 +: 8] = hz_mod_10[7:0] | 8'h30;
-				hz = hz / 10;
-				//$display("hz2str() => so far '%s', pos (%d), f_unit(%d) ", hz2str, pos, f_unit);
-			end
-			//$display("hz2str() returns '%s'", hz2str);
-		end
-	endfunction
-
-  // Convert a string to an integer
-  // Uses pre-existing str2hz function
-  function integer str2int(
-    input [MAX_CHARS*8-1:0] instring
-  );
-    time temp;
-    temp = str2hz(instring); // str2hz assume Hertz as default unit. Don't need to add 'Hz' to input.
-    str2int = temp[31:0];
-  endfunction
-
-
-  // Convert an integer to a string
-  function [MAX_CHARS*8-1:0] int2str(
-    input integer in_int
-  );
-    integer i;
-    integer this_char;
-    i = 0;
-    int2str = "";
-    do
-    begin
-      this_char = (in_int % 10) + 48;
-      int2str[i*8+:8] = this_char[7:0];
-      i=i+1;
-      in_int = in_int / 10; 
-    end
-    while(in_int > 0);
-  endfunction
-
-	// function to convert at most 40-bit long string to binary
-	function [39 : 0] m_str_to_bin;
-	    input [40*8 : 1] s;
-	    reg   [40*8 : 1] reg_s;
-	    reg   [40:1]     res;
-	
-	    integer m;
-	    begin
-	      
-	        reg_s = s;
-	        for (m = 40; m > 0; m = m-1 )
-	        begin
-	            res[m] = reg_s[313];
-	            reg_s = reg_s << 8;
-	        end
-	          
-	        m_str_to_bin = res;
-	    end   
-	endfunction
-
-
-  //////////////////////////////
-  // Convert the argument string to a 64-bit binary value
-  // @hex_str The string to be converted specified as an ASCII hexadecimal string
-  function [63:0] m_hex_to_bin (
-    input [8*MAX_CHARS-1:0] hex_str
-  );
-    integer i;
-  
-    reg [63:0] out; // = 64'h0000_0000_0000_0000;
-    reg [7:0] this_char;
-
-    begin
-
-      out = 64'h0000_0000_0000_0000;
-  
-      for(i=0; i<16; i=i+1)  begin
-        this_char = hex_str[i*8+:8];
-        if(this_char >= 48 && this_char <= 57)
-          out[i*4+:4] = this_char - 48;
-        else if(this_char >= 65 && this_char <= 70)
-          out[i*4+:4] = this_char - 55;
-        else if(this_char >= 97 && this_char <= 102)
-          out[i*4+:4] = this_char - 87;
-        else begin
-          out[i*4+:4] = 0;
-        end
-      end
-    end
-    m_hex_to_bin = out;
-  endfunction
-
-
-
-	////////////////////////////////////////////////////////////////////
-	// Verify that the string value is contained in the legal set.
-	//
-	// The 'set' can consist of a single string with no delimiters, e.g. "individual",
-	// or multiple values, separated by commas, and surrounded by parens, e.g. "(one,two,three,four,five)"
-	//
-	// Returns 1 if the value is in the set, and 0 otherwise
-	function integer is_in_legal_set(
-		input [MAX_CHARS*8-1:0] value,
-		input [MAX_STRS*MAX_CHARS*8-1:0] set
-	);
-		if (value == "<auto_any>")
-			is_in_legal_set = 1;
-		else if (value == "<auto_single>")
-			is_in_legal_set = (set[7:0] == 8'h29) ? 0 : 1;  // 8'h29 is closing parenthesis char
-		else if (value == set)
-			is_in_legal_set = 1;  // value matches single value in set
-		else begin
-			// check value against each in set
-			integer close_pos;	// end of string marker can be comma or closing paren
-			integer open_pos;	// open paren is start of set, if appropriate
-			reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr;
-			
-			is_in_legal_set = 0;
-			open_pos = MAX_STRS*MAX_CHARS-1;
-      // Remove closing parenthesis if exists
-      if(set[7:0] == 8'h29) begin
-        set = (set >> 8);
-        set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00;
-      end
-      // look for first non-null and non open paren character
-	    while (open_pos > 0 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null
-				open_pos = open_pos - 1;
-
-			while (is_in_legal_set == 0 && open_pos >= 0) begin
-	      close_pos = open_pos;
-				while (close_pos > 0
-						 && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c)
-					close_pos = close_pos - 1;
-			  if (close_pos >= 0) begin
-          close_pos = close_pos == 0 ? 0 : close_pos + 1;
-				  legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8);
-					if (value == legalstr)
-						is_in_legal_set = 1;
-				end
-				open_pos = close_pos-2;  // prepare to look for next legal string
-			end
-		end
-		//$display("is_in_legal_set(): returns %d", is_in_legal_set);
-	endfunction
-
-
-  // Accepts a string list of comma seperated numbers and returns a binary
-  // field where each bit indicates whether the index corresponding to that bit
-  // was found in the legal set.
-  //
-  // @param count - The number of integer indexes to check for in the set
-  //                or the highest integer minus 1.
-  // @param set - The list containing the integer values to search for
-  // @return - A bitfield where each bit indicates whether the corresponding
-  //           integer was found in the legal set.
-  function [MAX_XCVR_CHANNELS-1:0] map_numerical_is_in_legal_set(
-    input integer count,
-    input [MAX_STRS*MAX_CHARS*8-1:0] set
-  );
-    integer index;
-    reg [MAX_XCVR_CHANNELS-1:0] retval;
-
-    // Validate count parameter
-    if(count > MAX_XCVR_CHANNELS)
-      $display("Error: [map_numerical_is_in_legal_set]: Invalid value for count: %0d",count);
-
-    map_numerical_is_in_legal_set = {MAX_XCVR_CHANNELS{1'b0}};
-    retval = {MAX_XCVR_CHANNELS{1'b0}};
-    for(index = 0; index < count; index = index + 1) begin
-      if(is_in_legal_set(int2str(index),set))
-        retval = retval | (({MAX_XCVR_CHANNELS{1'b0}} | 1'b1) << index);
-    end
-    map_numerical_is_in_legal_set = retval;
-  endfunction
-
-
-  // Accepts a string list of comma seperated numbers and returns a binary
-  // field where each byte contains the corresponding number found in the
-  // list.
-  //
-  // @param count - The number of elements in the list.
-  // @param set - The list containing the integer values.
-  // @return - A bitfield where each byte contains the corresponding number found
-  //          at that location in the list.
-  function [MAX_XCVR_CHANNELS*8-1:0] map_numerical_legal_set(
-    input integer count,
-    input [MAX_STRS*MAX_CHARS*8-1:0] set
-  );
-    integer index;
-    reg [MAX_XCVR_CHANNELS-1:0] retval;
-    reg [MAX_CHARS*8-1:0] str_val;
-    reg [7:0]             int_val;
-
-    // Validate count parameter
-    if(count > MAX_XCVR_CHANNELS || count > 256)
-      $display("Error: [map_numerical_legal_set]: Invalid value for count: %0d",count);
-
-    map_numerical_legal_set = {MAX_XCVR_CHANNELS{8'd0}};
-    retval = {MAX_XCVR_CHANNELS{8'd0}};
-    for(index = 0; index < count; index = index + 1) begin
-      str_val = get_value_at_index(index,set);
-      if(str_val != "NA") begin
-        int_val = str2int(str_val);
-        if(int_val > 255)
-          $display("Error: [map_numerical_legal_set]: Invalid string contains non-numerical item or value:%0d",int_val);
-        else begin
-          retval = retval | ( ( {MAX_XCVR_CHANNELS{8'd0}} | int_val ) << (index * 8));
-        end
-      end
-    end
-    map_numerical_legal_set = retval;
-  endfunction
-
-
-  // Accepts a comma separated list of string values and returns the element
-  // found at the specified index. If the index is invalid, "NA" is returned
-  //
-  // @param index - The index of the value to return within "set"
-  // @param set - A comma separated list of string values. The entire list may
-  //            be surrounded by parenthesis("(item0,item1,item2)")
-  function [MAX_CHARS*8-1:0] get_value_at_index(
-    input integer index,
-    input [MAX_STRS*MAX_CHARS*8-1:0] set
-  );
-    // check value against each in set
-	  integer close_pos;	// end of string marker can be comma or closing paren
-		integer open_pos;	// open paren is start of set, if appropriate
-		reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr;
-    integer cur_index;
-			
-    get_value_at_index = "";
-    legalstr = "NA";
-    cur_index = 0;
-	  open_pos = MAX_STRS*MAX_CHARS-1;
-    // Remove closing parenthesis if exists
-    if(set[7:0] == 8'h29) begin
-      set = (set >> 8);
-      set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00;
-    end
-    // Find the start of the string
-	  while (open_pos >= 1 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null
-				open_pos = open_pos - 1;
-
-    // Iterate through list until the string is found or we've reached the end of the list
-	  while (legalstr == "NA" && open_pos >= 0 && cur_index <= index) begin
-	    close_pos = open_pos;
-      // Move the close iterator to the end of the current value (or end of string)
-			while (close_pos > 0
-					&& set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c)
-			  close_pos = close_pos - 1;
-			if (close_pos >= 0) begin
-          close_pos = close_pos == 0 ? 0 : close_pos + 1;
-          if(index == cur_index) begin 
-				    legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8);
-				  end
-				  open_pos = close_pos-2;  // prepare to look for next legal string
-      end
-      cur_index = cur_index + 1;
-		end
-
-    cur_index = 0;
-    while(legalstr[cur_index*8+:8] != 0) begin
-      get_value_at_index[cur_index*8+:8] = legalstr[cur_index*8+:8];
-      cur_index = cur_index + 1;
-    end
-    
-		//$display("is_in_legal_set(): returns %d", is_in_legal_set);
-	endfunction
-
-	// The goal was to return one of the values, does not matter which one.
-	// ~45 times faster than get_value_at_index( 0, set );
-	function [MAX_CHARS*8-1:0] get_first_enum_value( input [MAX_STRS*MAX_CHARS*8-1:0] set );
-
-		int start_pos, cur_idx;
-		bit [7:0] cur_set_char;
-                   
-        // Ensure null-terminating the string.
-        // MODIFIED: Work around for a QuestaSim vopt bug: set[(MAX_STRS*MAX_CHARS*8-8) +: 8 ] = 8'h00; 
-        set [ ( MAX_STRS * MAX_CHARS * 8 -1) : ( MAX_STRS * MAX_CHARS * 8 - 8) ] = 8 'h00 ;
-
-		start_pos = set[7:0] == 8'h29 ? 8 : 0;
-		cur_idx = 0;
-
-		get_first_enum_value = "";
-
-		forever
-			begin
-				cur_set_char = set[ cur_idx + start_pos +: 8 ];
-
-				// comma, par or 0
-				if ( cur_set_char == 8'h2c || cur_set_char == 8'h28 || cur_set_char == 8'h00 )
-					break;
-
-				get_first_enum_value[ cur_idx +: 8 ] = cur_set_char;
-				cur_idx = cur_idx + 8;
-			end
-
-		// get_first_enum_value = get_value_at_index( 0, set );
-
-	endfunction
-
-	// This functions is ~12 times faster than is_in_legal_set
-	function integer is_enum_in_legal_set( input [MAX_CHARS*8-1:0] value, input [MAX_STRS*MAX_CHARS*8-1:0] set );
-
-		if ( value == "<auto_any>" )
-			return 1;
-		else if (value == "<auto_single>")
-			return (set[7:0] == 8'h29) ? 0 : 1;  // 8'h29 is closing parenthesis char
-		else if (value == set)
-			return 1;  // value matches single value in set
-		else 
-		begin
-			// ')'
-			int set_pos;
-			set_pos = 0;
-			if ( set[7:0] == 8'h29 )
-				set_pos = 8;
-
-                         // Ensure null-terminating the string.
-                         set[(MAX_STRS*MAX_CHARS*8-8) +: 8 ] = 8'h00;
-
-			forever
-				begin
-					int cur_cmp_len;
-					cur_cmp_len = 0;
-
-					// $display( "set_pos ", set_pos/8 );
-
-					forever
-						begin
-
-							int cur_val_char, cur_set_char;
-							cur_val_char = value[ cur_cmp_len +: 8 ];
-							cur_set_char = set[ set_pos +: 8 ];
-
-							// $display( "comparing ", set_pos/8, cur_cmp_len/8, " " , value[ cur_cmp_len +: 8 ], " ", set[ set_pos +: 8 ] );
-
-							if ( cur_val_char == 0 )
-								// The end of value reached, check whether set has comma, par or 0
-								if ( cur_set_char == 8'h2c || cur_set_char == 8'h28 || cur_set_char == 8'h00 )
-									return 1;
-
-							if ( cur_val_char != cur_set_char )
-								break;
-								
-							cur_cmp_len = cur_cmp_len + 8;
-							set_pos = set_pos + 8;
-						end
-
-					forever
-						begin
-							int cur_set_char;
-							cur_set_char = set[ set_pos +: 8 ];
-
-							// $display( "skipping ", set_pos/8 );
-
-							// ','
-							if ( cur_set_char == 8'h2c )
-								begin
-									set_pos = set_pos + 8;
-									break;
-								end
-
-							if ( cur_set_char == 8'h00 )
-								return 0;
-
-							set_pos = set_pos + 8;
-
-						end
-				end
-		end
-
-	endfunction
-
-	// The first parameter is just a number, NOT a string
-	function integer is_numeric_in_legal_set( input [MAX_CHARS*8-1:0] value, input [MAX_STRS*MAX_CHARS*8-1:0] set );
-		is_numeric_in_legal_set = 1;
-	endfunction
-
-	// AP stubs --- end
-
-
-	////////////////////////////////////////////////////////////////////////
-	// Returns ceil_log2() value
-	localparam integer MAX_PRECISION = 32;	// VCS requires this declaration outside the function
-	function integer ceil_log2;
-		input [MAX_PRECISION-1:0] input_num;
-		integer i;
-		reg [MAX_PRECISION-1:0] try_result;
-		begin
-			i = 0;
-			try_result = 1;
-			while ((try_result << i) < input_num && i < MAX_PRECISION)
-				i = i + 1;
-			ceil_log2 = i;
-		end
-	endfunction
-
-  ////////////////////////////////////////////////////////////////////
-  // Return the number of bits required to represent an integer
-  // E.g. 0->1; 1->1; 2->2; 3->2 ... 31->5; 32->6
-  //
-  function integer clogb2;
-    input [MAX_PRECISION-1:0] input_num;
-    begin
-      for (clogb2=0; input_num>0 && clogb2<MAX_PRECISION; clogb2=clogb2+1)
-        input_num = input_num >> 1;
-      if(clogb2 == 0)
-        clogb2 = 1;
-    end
-  endfunction
-
-	////////////////////////////////////////////////////////////////////
-	// Return current device family string for display purposes
-	`ifndef XCVR_DEV_FAM
-		`ifdef ALTERA_RESERVED_QIS_FAMILY
-			`define XCVR_DEV_FAM `ALTERA_RESERVED_QIS_FAMILY	// synthesis: use QIS-defined value
-		`else
-			`define XCVR_DEV_FAM device_family	// simulation: use passed-in value
-		`endif
-	`endif
-	function [MAX_CHARS*8-1:0] current_device_family (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		current_device_family = `XCVR_DEV_FAM;
-	endfunction
-
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_s4_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_s4_style_hssi = (  (`XCVR_DEV_FAM == "Stratix IV")
-							|| (`XCVR_DEV_FAM == "Arria II")
-							|| (`XCVR_DEV_FAM == "Cyclone IV GX")	// not exact, but close enough
-							|| (`XCVR_DEV_FAM == "Arria II GX")
-							|| (`XCVR_DEV_FAM == "Arria II GZ")
-							|| (`XCVR_DEV_FAM == "HardCopy IV")
-							) ? 1 : 0;
-	endfunction
-
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_s5_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_s5_style_hssi = (  (`XCVR_DEV_FAM == "Stratix V") || (`XCVR_DEV_FAM == "Arria V GZ")
-							) ? 1 : 0;
-	endfunction
-	
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_a5_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_a5_style_hssi = (  (`XCVR_DEV_FAM == "Arria V")
-							) ? 1 : 0;
-	endfunction
-	
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_c5_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_c5_style_hssi = (  (`XCVR_DEV_FAM == "Cyclone V")
-							) ? 1 : 0;
-	endfunction
-	
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_c4_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_c4_style_hssi = (  (`XCVR_DEV_FAM == "Cyclone IV GX")
-							) ? 1 : 0;
-	endfunction
-
-  ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_gxb bundle width for specified device family
-  //
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_to_gxb bundle for that family
-  function integer get_reconfig_to_gxb_width (
-    input [MAX_CHARS*8-1:0] device_family
-  );
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) 
-      get_reconfig_to_gxb_width = W_S5_RECONFIG_BUNDLE_TO_XCVR;
-    else if (has_s4_style_hssi(device_family))
-      get_reconfig_to_gxb_width = W_S4_RECONFIG_BUNDLE_TO_XCVR;
-   else if (has_c4_style_hssi(device_family))
-      get_reconfig_to_gxb_width = W_C4_RECONFIG_BUNDLE_TO_XCVR;
-    else
-      get_reconfig_to_gxb_width = 0;
-  endfunction
-
-  ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_gxb bundle width for specified device family
-  //
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_gxb bundle for that family
-  function integer get_reconfig_from_gxb_width (
-    input [MAX_CHARS*8-1:0] device_family
-  );
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) 
-      get_reconfig_from_gxb_width = W_S5_RECONFIG_BUNDLE_FROM_XCVR;
-    else if (has_s4_style_hssi(device_family))
-      get_reconfig_from_gxb_width = W_S4_RECONFIG_BUNDLE_FROM_XCVR;
-    else if (has_c4_style_hssi(device_family))
-      get_reconfig_from_gxb_width = W_C4_RECONFIG_BUNDLE_FROM_XCVR;
-    else
-      get_reconfig_from_gxb_width = 0;
-  endfunction
-  
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_xcvr total port width for specified device family
-  //
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_to_xcvr port for that family
-  function integer get_reconfig_to_width (
-    input [MAX_CHARS*8-1:0] device_family,
-    input integer reconfig_interfaces
-  );
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) 
-      get_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces);
-    else if (has_s4_style_hssi(device_family))
-      get_reconfig_to_width = W_S4_RECONFIG_BUNDLE_TO_XCVR;
-    else if (has_c4_style_hssi(device_family))
-      get_reconfig_to_width = W_C4_RECONFIG_BUNDLE_TO_XCVR;
-    else
-      get_reconfig_to_width = 0;
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_xcvr total port width for Stratix V device family
-  //
-  function integer get_s5_reconfig_to_width (
-    input integer reconfig_interfaces
-  );
-    get_s5_reconfig_to_width = reconfig_interfaces * get_reconfig_to_gxb_width("Stratix V");
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_xcvr total port width for specified device family
-  //
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_reconfig_from_width (
-    input [MAX_CHARS*8-1:0] device_family,
-    input integer reconfig_interfaces
-  );
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family))
-      get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family);
-    else if (has_s4_style_hssi(device_family))
-      get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family);
-    else if (has_c4_style_hssi(device_family))
-      get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family);
-    else
-      get_reconfig_from_width = 0;
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_xcvr total port width for Stratix V device family
-  //
-  function integer get_s5_reconfig_from_width (
-    input integer reconfig_interfaces
-  );
-    get_s5_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width("Stratix V");
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get number of reconfig interfaces for Custom PHY
-  // NOTE - !!Has since been used by other PHY IP!!
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // @param lanes - Number of channels
-  // @param plls - Number of TX plls (per channel)
-  // @param bonded_group_size - Size of bonded group (1 or lanes)
-  // @param data_path_type - Abuse of function by overloading for ATT support
-  //                       - Carry on the abuse
-  //
-  // @return 0 if the device_family argument is invalid, otherwise
-  //          it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_custom_reconfig_interfaces(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer           lanes,
-    input integer           plls,
-    input integer           bonded_group_size,
-    input [MAX_CHARS*8-1:0] data_path_type = "",
-    input [MAX_CHARS*8-1:0] bonded_mode = "xN"
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = 0;
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin
-      // ATT specific calculations
-      if( data_path_type == "ATT" ) begin
-        if((operation_mode == "RX_ONLY") || (operation_mode == "rx_only") || (operation_mode == "Rx") || (operation_mode == "RX") || (operation_mode == "rx")) begin
-            reconfig_interfaces = lanes;
-        end else if((operation_mode == "TX_ONLY") || (operation_mode == "tx_only") || (operation_mode == "Tx") || (operation_mode == "TX") || (operation_mode == "tx")) begin
-            reconfig_interfaces = 2*lanes;
-        end else begin
-            reconfig_interfaces = 3*lanes;
-        end
-      end else begin
-        // Custom PHY calculations
-        if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only"))
-          reconfig_interfaces = lanes;
-        else begin
-          bonded_group_size = (bonded_mode == "fb_compensation") ? 1 : 
-                              (bonded_mode == "non_bonded") ? 1 : bonded_group_size;
-          reconfig_interfaces = lanes+(plls*(lanes/bonded_group_size));
-        end
-      end
-    end
-    get_custom_reconfig_interfaces = reconfig_interfaces;
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_xcvr total port width for Custom PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // @param lanes - Number of transceiver channels
-  // @param plls - Number of plls per bonded group
-  // @param bonded_group_size - Size of bonded group (1 or lanes)
-  // @param data_path_type - Abuse of function to support ATT
-  //
-  // @return - 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_custom_reconfig_to_width(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer           lanes,
-    input integer           plls,
-    input integer           bonded_group_size,
-    input [MAX_CHARS*8-1:0] data_path_type = "",
-    input [MAX_CHARS*8-1:0] bonded_mode = "xN"
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode );
-    get_custom_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces);
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_xcvr total port width for Custom PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // @param lanes - Number of transceiver channels
-  // @param plls - Number of plls per bonded group
-  // @param bonded_group_size - Size of bonded group (1 or lanes)
-  // @param data_path_type - Abuse of function to support ATT
-  //
-  // @return - 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_custom_reconfig_from_width(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer           lanes,
-    input integer           plls,
-    input integer           bonded_group_size,
-    input [MAX_CHARS*8-1:0] data_path_type = "",
-    input [MAX_CHARS*8-1:0] bonded_mode = "xN"
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode);
-    get_custom_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces);
-  endfunction
-
-
-   ////////////////////////////////////////////////////////////////////   
-   // Start Interlaken Specific functions for calculating reconfig interfaces 
-   // and reconfig_to_gxb, reconfig_from_gxb widths  
-
-    ////////////////////////////////////////////////////////////////////
-  // Get number of reconfig interfaces for Interlaken PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_interlaken_reconfig_interfaces(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer 	    bonded_group_size,
-    input integer           lanes
-  );
-     integer 		    reconfig_interfaces;
-     integer 		    xslices;
-     integer 		    xremain;
-     integer 		    totalplls;
-		    
-    reconfig_interfaces = 0;
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin
-      if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only"))
-        reconfig_interfaces = lanes;
-      else begin
-	 xslices = lanes/bonded_group_size;
-	 xremain = lanes % bonded_group_size;
-	 
-	 if (xremain >0)
-	   totalplls = xslices +1;
-	 else
-	   totalplls = xslices;
-         reconfig_interfaces = lanes+totalplls;
-      end // else: !if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only"))
-    end // if (has_s5_style_hssi(device_family))
-    get_interlaken_reconfig_interfaces = reconfig_interfaces;
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_xcvr total port width for Interlaken PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // @param lanes - Number of transceiver channels
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_interlaken_reconfig_to_width(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer 	    bonded_group_size,
-    input integer           lanes
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes);
-    get_interlaken_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces);
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_xcvr total port width for Custom PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_interlaken_reconfig_from_width(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer 	    bonded_group_size,          						      
-    input integer           lanes
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes);
-    get_interlaken_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces);
-  endfunction
-
-   // End Interlaken specific functions
-   ////////////////////////////////////////////////////////////////////   
-
-
-
-
-   
-endpackage
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv
deleted file mode 100644
index 07bdfb953060ee5b5516a965b5cb88eac71be34b..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv
+++ /dev/null
@@ -1,441 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-
-// File Name: altera_xcvr_reset_control.sv
-//
-// Description:
-//
-//    A configurable reset controller intended to drive resets for HSSI transceiver PLLs and CHANNELS.
-//  The reset controller makes use of individual reset counters to control reset timing for the various reset
-//  outputs.
-//
-//    Features:
-//      - Optional TX,RX,PLL reset control.
-//      - Optional synchronization of the reset input
-//      - Optional hysteresis for the pll_locked status inputs
-//      - Reset control per channel or shared. (E.g. separate rx_digitalreset control for each channel
-//        or one control for all channels)
-//      - Configurable reset timings
-//      - Optional automatic or manual reset control mode
-//        (For TX, tx_digitalreset can automatically be restarted on loss of pll_locked)
-//        (For RX, rx_digitalreset can automatically be restarted on loss of rx_is_lockedtodata)
-
-`timescale 1ns / 1ns
-`ifndef ALTERA_RESERVED_QIS_FAMILY_ARRIA10
-(* altera_attribute = "-name MERGE_TX_PLL_DRIVEN_BY_REGISTERS_WITH_SAME_CLEAR ON -to \"alt_xcvr_reset_counter:g_pll.counter_pll_powerdown|r_reset\" " *)
-`endif
-//altera message_off 10036
-module  altera_xcvr_reset_control
-#(
-    // General Options
-    parameter CHANNELS          = 1,    // Number of CHANNELS
-    parameter PLLS              = 1,    // Number of TX PLLs. For pll_powerdown and pll_locked
-    parameter SYS_CLK_IN_MHZ    = 250,  // Clock frequency in MHz. Required for reset timers
-    parameter SYNCHRONIZE_RESET = 1,    // (0,1) Synchronize the reset input
-    parameter REDUCED_SIM_TIME  = 1,    // (0,1) 1=Reduced reset timings for simulation
-    // PLL options
-    parameter TX_PLL_ENABLE     = 0,    // (0,1) Enable TX PLL reset
-    parameter T_PLL_POWERDOWN   = 1000, // pll_powerdown period in ns
-    parameter SYNCHRONIZE_PLL_RESET = 0,// (0,1) Use synchronized reset input for PLL powerdown
-                                        // !NOTE! Will prevent PLL merging across reset controllers
-                                        // !NOTE! Requires SYNCHRONIZE_RESET == 1
-    // TX options
-    parameter TX_ENABLE         = 0,    // (0,1) Enable TX resets
-    parameter TX_PER_CHANNEL    = 0,    // (0,1) 1=separate TX reset per channel
-    parameter T_TX_ANALOGRESET  = 0,    // tx_analogreset period (after reset removal)
-    parameter T_TX_DIGITALRESET = 20,   // tx_digitalreset period (after pll_powerdown)
-    parameter T_PLL_LOCK_HYST   = 0,    // Amount of hysteresis to add to pll_locked status signal
-    // RX options
-    parameter RX_ENABLE         = 0,    // (0,1) Enable RX resets
-    parameter RX_PER_CHANNEL    = 0,    // (0,1) 1=separate RX reset per channel
-    parameter T_RX_ANALOGRESET  = 40,   // rx_analogreset period
-    parameter T_RX_DIGITALRESET = 4000,  // rx_digitalreset period (after rx_is_lockedtodata)
-    // CAL BUSY option
-    parameter EN_PLL_CAL_BUSY = 0
-) (
-  // User inputs and outputs
-  input   wire    clock,  // System clock
-  input   wire    reset,  // Asynchronous reset
-
-  // Reset signals
-  output  wire  [PLLS-1:0]      pll_powerdown,      // reset TX PLL (to PHY/PLL)
-  output  wire  [CHANNELS-1:0]  tx_analogreset,     // reset TX PMA (to PHY)
-  output  wire  [CHANNELS-1:0]  tx_digitalreset,    // reset TX PCS (to PHY)
-  output  wire  [CHANNELS-1:0]  rx_analogreset,     // reset RX PMA (to PHY)
-  output  wire  [CHANNELS-1:0]  rx_digitalreset,    // reset RX PCS (to PHY)
-  // Status output
-  output  wire  [CHANNELS-1:0]  tx_ready, // TX is not in reset
-  output  wire  [CHANNELS-1:0]  rx_ready, // RX is not in reset
-
-  // Digital reset override inputs (must by synchronous with clock)
-  input   wire  [CHANNELS-1:0]  tx_digitalreset_or, // reset request for tx_digitalreset
-  input   wire  [CHANNELS-1:0]  rx_digitalreset_or, // reset request for rx_digitalreset
-
-  // TX control inputs
-  input   wire  [PLLS-1:0]      pll_locked,         // TX PLL lock status (from PHY/PLL)
-  input   wire  [pll_select_width(PLLS,TX_PER_CHANNEL,CHANNELS)-1:0] pll_select, // Select TX PLL locked signal 
-  input   wire  [CHANNELS-1:0]  tx_cal_busy,        // TX channel calibration status (from PHY/Reconfig)
-  input   wire  [PLLS-1:0]      pll_cal_busy,       // TX PLL calibration status (from PLL)
-  input   wire  [CHANNELS-1:0]  tx_manual,          // 0 = Automatically restart tx_digitalreset
-                                                    // when pll_locked deasserts.
-                                                    // 1 = Do nothing when pll_locked deasserts
-  // RX control inputs
-  input   wire  [CHANNELS-1:0]  rx_is_lockedtodata, // RX CDR PLL locked-to-data status (from PHY)
-  input   wire  [CHANNELS-1:0]  rx_cal_busy,        // RX channel calibration status (from PHY/Reconfig)
-  input   wire  [CHANNELS-1:0]  rx_manual           // 0 = Automatically restart rx_digitalreset
-                                                    // when rx_is_lockedtodata deasserts
-                                                    // 1 = Do nothing when rx_is_lockedtodata deasserts
-);
-
-// Faster reset time for simulation if indicated
-localparam  SYNTH_CLK_IN_HZ = SYS_CLK_IN_MHZ * 1000000;
-localparam  SIM_CLK_IN_HZ = (REDUCED_SIM_TIME == 1) 
-                            ? 2 * 1000000 : SYNTH_CLK_IN_HZ;
-`ifdef ALTERA_RESERVED_QIS
-  localparam  SYS_CLK_IN_HZ = SYNTH_CLK_IN_HZ;
-`else
-  localparam  SYS_CLK_IN_HZ = SIM_CLK_IN_HZ;
-`endif
-
-// Calculate delays
-wire  reset_sync;         // Synchronized reset input
-wire  stat_pll_powerdown; // PLL powerdown status
-
-genvar ig;
-
-//**************************************************************************
-//************************ Synchronize Reset Input *************************
-generate if(SYNCHRONIZE_RESET == 1) begin: g_reset_sync
-  // Synchronize reset input
-  alt_xcvr_resync #(
-      .SYNC_CHAIN_LENGTH(2),  // Number of flip-flops for retiming
-      .WIDTH            (1),  // Number of bits to resync
-      .INIT_VALUE       (1'b1)
-  ) alt_xcvr_resync_reset (
-    .clk    (clock      ),
-    .reset  (reset      ),
-    .d      (1'b0       ),
-    .q      (reset_sync )
-  );
-end else begin: g_no_reset_sync
-  assign  reset_sync = reset;
-end
-endgenerate
-//************************ Synchronize Reset Input *************************
-//**************************************************************************
-
-
-//***************************************************************************
-//*************************** TX PLL Reset Logic ****************************
-generate if(TX_PLL_ENABLE) begin: g_pll
-  wire  lcl_pll_powerdown;
-  wire  reset_pll;
-  assign  pll_powerdown = {PLLS{lcl_pll_powerdown}};
-  if(SYNCHRONIZE_PLL_RESET == 1) begin : g_sync_pll_reset
-    assign  reset_pll = reset_sync;
-  end else begin : g_no_sync_pll_reset
-    assign  reset_pll = reset;
-  end
-  // pll_powerdown 
-  alt_xcvr_reset_counter #(
-      .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-      .RESET_PER_NS (T_PLL_POWERDOWN  ), // Reset period in ns
-      .ACTIVE_LEVEL (0                )
-  ) counter_pll_powerdown (
-    .clk        (clock              ),
-    .async_req  (reset_pll          ),  // asynchronous reset request
-    .sync_req   (1'b0               ),  // synchronous reset request
-    .reset_or   (1'b0               ),
-    .reset      (lcl_pll_powerdown  ),  // synchronous reset out
-    .reset_n    (/*unused*/         ),
-    .reset_stat (stat_pll_powerdown )
-  );
-end else begin : g_no_pll
-  assign  pll_powerdown = {PLLS{1'b0}};
-  assign  stat_pll_powerdown  = 1'b0;
-end
-endgenerate
-//************************* End TX PLL Reset Logic **************************
-//***************************************************************************
-
-
-//***************************************************************************
-//***************************** TX Reset Logic ******************************
-generate if(TX_ENABLE) begin: g_tx
-  localparam  PLL_SEL_WIDTH = altera_xcvr_functions::clogb2(PLLS-1);
-
-  for (ig=0;ig<CHANNELS;ig=ig+1) begin : g_tx
-    if(ig == 0 || TX_PER_CHANNEL == 1) begin : g_tx
-      wire  lcl_tx_cal_busy;
-      wire  lcl_tx_manual;
-      wire  lcl_tx_digitalreset_or; // tx_digitalreset_or for this channel
-      wire  lcl_pll_locked;   // pll_locked[lcl_pll_select]
-      wire  lcl_pll_cal_busy;   // pll_cal_busy[lcl_pll_select]
-      wire  [PLL_SEL_WIDTH-1:0]  lcl_pll_select;
-      // Synchronized signals
-      wire  tx_cal_busy_sync; // tx_cal_busy after synchronization
-      wire  pll_cal_busy_sync;// pll_cal_busy after synchronization
-      wire  tx_manual_sync;   // Synchronous reset trigger for TX resets
-      wire  pll_locked_sync;  // pll_locked after synchronization
-      wire  pll_locked_hyst;  // pll_locked after hysteresis
-      reg   pll_locked_latch; // One shot latched pll_locked
-      wire  tx_or_pll_cal_busy_sync; //output of OR between synchronized tx_cal_busy and pll_cal_busy
-      // Reset status signals
-      wire  stat_tx_analogreset;
-      wire  stat_tx_digitalreset;
-  
-      // Control signal for this channel. With separate reset control per channel, each channel
-      // listens to its own control signal. Otherwise the control signals for all channels are
-      // combined for the shared reset control.
-      assign  lcl_tx_cal_busy       = TX_PER_CHANNEL ? tx_cal_busy[ig]  : |tx_cal_busy;
-      assign  lcl_tx_manual         = TX_PER_CHANNEL ? tx_manual  [ig]  : |tx_manual;
-      assign  lcl_tx_digitalreset_or= TX_PER_CHANNEL ? tx_digitalreset_or [ig] : |tx_digitalreset_or;
-      assign  lcl_pll_locked        = pll_locked[lcl_pll_select];
-      if(EN_PLL_CAL_BUSY==1) begin : cal_busy
-          assign  lcl_pll_cal_busy      = pll_cal_busy[lcl_pll_select];
-      end else begin : no_cal_busy
-          assign  lcl_pll_cal_busy      = 1'b0;
-      end
-
-      assign  lcl_pll_select        = TX_PER_CHANNEL ? pll_select[ig*PLL_SEL_WIDTH+:PLL_SEL_WIDTH]
-                                                     : (PLLS > 1)   ? pll_select
-                                                     : 1'b0;
-      
-      assign tx_or_pll_cal_busy_sync = tx_cal_busy_sync | pll_cal_busy_sync;
-
-      // Synchonize TX inputs
-      alt_xcvr_resync #(
-          .SYNC_CHAIN_LENGTH(2),  // Number of flip-flops for retiming
-          .WIDTH      (4),
-          .INIT_VALUE (0)
-      ) resync_tx_cal_busy (
-        .clk    (clock            ),
-        .reset  (reset_sync       ),
-        .d      ({lcl_tx_cal_busy ,lcl_pll_cal_busy ,lcl_tx_manual ,lcl_pll_locked }),
-        .q      ({tx_cal_busy_sync,pll_cal_busy_sync,tx_manual_sync,pll_locked_sync})
-      );
-
-      // Add hysteresis to pll_locked if needed
-      // Reset counter works fine for hysteresis
-      if(T_PLL_LOCK_HYST != 0) begin : g_pll_locked_hyst
-        alt_xcvr_reset_counter #(
-            .CLKS_PER_SEC (SYS_CLK_IN_HZ  ), // Clock frequency in Hz
-            .RESET_PER_NS (T_PLL_LOCK_HYST)  // Reset period in ns
-        ) counter_pll_locked_hyst (
-          .clk        (clock            ),
-          .async_req  (reset_sync       ),  // asynchronous reset request
-          .sync_req   (~pll_locked_sync ),  // synchronous reset request
-          .reset_or   (1'b0             ),
-          .reset      (/*unused*/       ),  // synchronous reset out
-          .reset_n    (pll_locked_hyst  ),
-          .reset_stat (/*unused*/       )
-        );
-      end else begin : g_no_pll_locked_hyst
-        // No hysteresis added; use synchronized pll_locked directly.
-        assign  pll_locked_hyst = pll_locked_sync;
-      end
-
-      // Add one-shot latch to pll_locked for initial reset sequence
-      always @(posedge clock or posedge reset_sync)
-      if(reset_sync)  pll_locked_latch  <= 1'b0;
-      else if(pll_locked_hyst & ~tx_cal_busy_sync)
-                      pll_locked_latch  <= 1'b1;
-  
-      // tx_analogreset
-      if(T_TX_ANALOGRESET == 0) begin
-        // Tie tx_analogreset to pll_powerdown if used, otherwise tie to reset input (which may be synchronized)
-        assign  tx_analogreset[ig]  = TX_PLL_ENABLE ? pll_powerdown[0] : reset_sync;
-        assign  stat_tx_analogreset = stat_pll_powerdown;
-      end else begin
-        // Assert rx_analogreset during RX calibration and for "T_RX_ANALOGRESET" ns thereafter
-        alt_xcvr_reset_counter #(
-            .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-            .RESET_PER_NS (T_TX_ANALOGRESET )  // Reset period in ns
-        ) counter_tx_analogreset (
-          .clk        (clock                  ),
-          .async_req  (reset_sync             ),  // asynchronous reset request
-          .sync_req   (tx_or_pll_cal_busy_sync),  // synchronous reset request
-          .reset_or   (1'b0                   ),  // auxilliary reset override
-          .reset      (tx_analogreset [ig]    ),  // synchronous reset out
-          .reset_n    (/*unused*/             ),
-          .reset_stat (stat_tx_analogreset    )
-        );
-      end
-
-      // tx_digitalreset
-      // Assert tx_digitalreset while any of the following
-      // 1 - pll_powerdown is asserted.
-      // 2 - TX calibration is in progress
-      // 3 - PLL has not reached initial lock (pll_locked_latch)
-      // 4 - PLL is not locked AND TX reset is NOT under manual control
-      // 5 - Reset override
-      alt_xcvr_reset_counter #(
-          .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-          .RESET_PER_NS (T_TX_DIGITALRESET )  // Reset period in ns
-      ) counter_tx_digitalreset (
-        .clk        (clock                  ),
-        .async_req  (reset_sync             ),  // asynchronous reset request
-        .sync_req   (stat_tx_analogreset | tx_cal_busy_sync | ~pll_locked_latch | (~pll_locked_hyst&~tx_manual_sync)),  // synchronous reset request
-        .reset_or   (lcl_tx_digitalreset_or ),  // auxilliary reset override
-        .reset      (tx_digitalreset[ig]    ),  // synchronous reset out
-        .reset_n    (/*unused*/             ),
-        .reset_stat (stat_tx_digitalreset   )
-      );
-  
-      // tx_ready
-      alt_xcvr_reset_counter #(
-          .RESET_COUNT(3)
-      ) counter_tx_ready (
-        .clk        (clock                ),
-        .async_req  (reset_sync           ),  // asynchronous reset request
-        .sync_req   (stat_tx_digitalreset ),  // synchronous reset request
-        .reset_or   (1'b0                 ),  // auxilliary reset override
-        .reset      (/*unused*/           ),  // synchronous reset out
-        .reset_n    (tx_ready       [ig]  ),
-        .reset_stat (/*unused*/           )   // reset status
-      );
-    end else begin : g_fanout_tx
-      assign  tx_analogreset  [ig]  = tx_analogreset  [0];
-      assign  tx_digitalreset [ig]  = tx_digitalreset [0];
-      assign  tx_ready        [ig]  = tx_ready        [0];
-    end
-  end
-end else begin : g_no_tx
-  assign  tx_analogreset  = {CHANNELS{1'b0}};
-  assign  tx_digitalreset = {CHANNELS{1'b0}};
-  assign  tx_ready        = {CHANNELS{1'b0}};
-end
-endgenerate
-//*************************** End TX Reset Logic ****************************
-//***************************************************************************
-
-
-//***************************************************************************
-//***************************** RX Reset Logic ******************************
-generate if (RX_ENABLE) begin : g_rx
-  for (ig=0;ig<CHANNELS;ig=ig+1) begin : g_rx
-    if(ig == 0 || RX_PER_CHANNEL == 1) begin : g_rx
-      wire  lcl_rx_cal_busy;        // rx_cal_busy for this channel
-      wire  lcl_rx_manual;          // rx_manual for this channel
-      wire  lcl_rx_is_lockedtodata; // rx_is_lockedtodata for this channel
-      wire  lcl_rx_digitalreset_or; // rx_digitalreset_or for this channel
-      // Synchronized signals
-      wire  rx_cal_busy_sync;         // rx_cal_busy after synchronization
-      wire  rx_manual_sync;           // rx_manual after synchronization
-      wire  rx_is_lockedtodata_sync;  // rx_is_lockedtodata after synchronization
-      // Reset status signals
-      wire  stat_rx_analogreset;
-      wire  stat_rx_digitalreset;     
-    
-      // Control signal for this channel. With separate reset control per channel, each channel
-      // listens to its own control signal. Otherwise the control signals for all channels are
-      // combined for the shared reset control.
-      assign  lcl_rx_manual           = RX_PER_CHANNEL ? rx_manual          [ig] : |rx_manual;
-      assign  lcl_rx_cal_busy         = RX_PER_CHANNEL ? rx_cal_busy        [ig] : |rx_cal_busy;
-      assign  lcl_rx_is_lockedtodata  = RX_PER_CHANNEL ? rx_is_lockedtodata [ig] : &rx_is_lockedtodata;
-      assign  lcl_rx_digitalreset_or  = RX_PER_CHANNEL ? rx_digitalreset_or [ig] : |rx_digitalreset_or;
-      
-      // Synchonize RX inputs
-      alt_xcvr_resync #(
-          .SYNC_CHAIN_LENGTH(2),  // Number of flip-flops for retiming
-          .WIDTH            (3),
-          .INIT_VALUE       (3'b100)
-      ) resync_rx_cal_busy (
-        .clk    (clock            ),
-        .reset  (reset_sync       ),
-        .d      ({lcl_rx_cal_busy, lcl_rx_is_lockedtodata ,lcl_rx_manual }),
-        .q      ({rx_cal_busy_sync,rx_is_lockedtodata_sync,rx_manual_sync})
-      );
-    
-      // rx_analogreset
-      // Assert rx_analogreset during RX calibration and for "T_RX_ANALOGRESET" ns thereafter
-      alt_xcvr_reset_counter #(
-          .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-          .RESET_PER_NS (T_RX_ANALOGRESET )  // Reset period in ns
-      ) counter_rx_analogreset (
-        .clk        (clock              ),
-        .async_req  (reset_sync         ),  // asynchronous reset request
-        .sync_req   (rx_cal_busy_sync   ),  // synchronous reset request
-        .reset_or   (1'b0               ),  // auxilliary reset override
-        .reset      (rx_analogreset [ig]),  // synchronous reset out
-        .reset_n    (/*unused*/         ),
-        .reset_stat (stat_rx_analogreset)
-      );
-    
-      // rx_digitalreset
-      // Assert rx_digitalreset while any of the following:
-      // 1 - RX calibration is in progress
-      // 2 - rx_analogreset is asserted
-      // 3 - RX is not locked to data AND RX reset is NOT under manual control
-      //        (meaning user wants us to respond to loss of RX data lock)
-      alt_xcvr_reset_counter #(
-          .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-          .RESET_PER_NS (T_RX_DIGITALRESET )  // Reset period in ns
-      ) counter_rx_digitalreset (
-        .clk        (clock                  ),
-        .async_req  (reset_sync             ),  // asynchronous reset request
-        .sync_req   (rx_cal_busy_sync|stat_rx_analogreset|(~rx_is_lockedtodata_sync&~rx_manual_sync)),  // synchronous reset request
-        .reset_or   (lcl_rx_digitalreset_or ),  // auxilliary reset override
-        .reset      (rx_digitalreset[ig]    ),  // synchronous reset out
-        .reset_n    (/*unused*/             ),
-        .reset_stat (stat_rx_digitalreset   )
-      );
-    
-      // rx_ready
-      alt_xcvr_reset_counter #(
-          .RESET_COUNT(3)
-      ) counter_rx_ready (
-        .clk        (clock                ),
-        .async_req  (reset_sync           ),  // asynchronous reset request
-        .sync_req   (stat_rx_digitalreset ),  // synchronous reset request
-        .reset_or   (1'b0                 ),  // auxilliary reset override
-        .reset      (/*unused*/           ),  // synchronous reset out
-        .reset_n    (rx_ready[ig]         ),
-        .reset_stat (/*unused*/           )
-      );
-    
-    end else begin : g_fanout_rx
-      assign  rx_analogreset  [ig]  = rx_analogreset  [0];
-      assign  rx_digitalreset [ig]  = rx_digitalreset [0];
-      assign  rx_ready        [ig]  = rx_ready        [0];
-    end
-  end
-end else begin : g_no_rx
-  assign  rx_analogreset  = {CHANNELS{1'b0}};
-  assign  rx_digitalreset = {CHANNELS{1'b0}};
-  assign  rx_ready        = {CHANNELS{1'b0}};
-end
-endgenerate
-//*************************** End RX Reset Logic ****************************
-//***************************************************************************
-
-// pll_select_width
-// Internal function to calculate the width of pll_select port.
-// @param PLLS - Number of TX PLLs
-// @param TX_PER_CHANNEL - Separate TX reset controller per channel
-// @param CHANNELS - The number of TX CHANNELS
-//
-// @return - The width of the pll_select port
-function integer pll_select_width;
-  input integer PLLS;
-  input integer TX_PER_CHANNEL;
-  input integer CHANNELS;
-  begin
-    pll_select_width = altera_xcvr_functions::clogb2(PLLS-1);
-    if(TX_PER_CHANNEL) pll_select_width = pll_select_width * CHANNELS;
-  end
-endfunction
-
-endmodule
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/cadence_files.txt b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/cadence_files.txt
deleted file mode 100644
index d5fd5374d7dd576eefc57987f65074b7c2d15437..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/cadence_files.txt
+++ /dev/null
@@ -1,4 +0,0 @@
-./altera_xcvr_functions.sv
-./alt_xcvr_resync.sv
-./altera_xcvr_reset_control.sv
-./alt_xcvr_reset_counter.sv
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_reset_counter.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_reset_counter.sv
deleted file mode 100644
index 862e9a51c31a6d376e0b6e1f39f4c4fc4c15596f..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_reset_counter.sv
+++ /dev/null
@@ -1,130 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-Ctjuv1H/X0cEK1SNXg51tkozAZDPabQADn5p/iGOPpFEdDEksUJC6B/BS4bhZKaP
-RgBStgu/8YNSWFL2yu1YljFr6jSaCuU3k611ixVd23LZzNuPu2JDmEzIJXIUe/GF
-TJRW7TSx7KqTQ3dtH+8zfH+D3B/S0SFNic+2xHjCu2k=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 5664)
-Fjj0LnAOVfNvg50AlP/3RNXPCyrnwjDA3Ct3ngaNKSu8Iccegv69jMd+se2q8MIq
-Gd0N3iMJIJ4BZK0aiNpWOShxknViPEu4tapkHZVx4CPQe6/1VC6Rwg5OJSZ3HBd4
-Bl0mTcOiQqKBz6CCTc7HkKzorx4KNlHSRWvchfX2hkl0kYX2xaDBDheYcm8lIXnf
-8TxV5mMRylNVNCSkm4GfAYBXBxKVbQHmS8lj0nduz92Oh/omP4Zbil9srelYGWAO
-mbaiRvQI5mZ8h8iCvxwcgtrenGIwSTKgUF6sMpWHKeBl86wHGjTIj1apzcxHA9Pi
-fmKtJATzv4A7GkfoNTs45y+dqqq9d3Ry+Sz+GyyqTs/MK6NS5/rfl4ySZtwASfjX
-Twl+7RIjDgJ798/8blYnBXygVQjFrx/57+0oH8bxAF5gWPRrNuhCWBueNQJKwRfZ
-Tr+tEbYhbgkKriVg6HVV9/ckdNmyB7ykQ9CA0jVdUxVs4r1dWyPr/5ABXaP0ZRVc
-ekL2+QXfR8F+EDpEcEVMlopiZESCMFjCTtZ5jjmwVPU5ZeOhy26ATGyPpv0tUM3S
-IB9qkcJvG+bKQB0bbUl7J8kLD9IqQYqXgkCvMVo7HI8fERpo1LBoiBQ/8x3fcOV0
-q89TZyWPDaM/WjrdbQWx4FtUfmEE0SUamc3+NqRo7syKPazDeWCqRSij5Jpy/brn
-w5CiPCTVNQuxF1uI5v7QOiIsjwxxiK0Jsdz4rrQuftoSPC3D+s/+n3AZapsytPuK
-syN9ImoR73XPoWo+Wo1VikIuYT5GZhGCoL1/xUpYpeRtDKjePz0xzFvj5Ncr4Rf7
-zXwf1A1NI3L1RL6ct1ZY0KE1pgFnRnyuTSOZwlLvD2yKjW33BaDEVUeyu5f+GMIm
-1H+KJcpOTkzktNR6sQzc2+y/gZSRzAS6ErUf9PX0wRjx8WdJunh34vI1oDJ5Xb7y
-w8jaEqlcHO+1m4t/PfSfq+zAQ3du8+xQNht8/qx40UX0YAx8rACmNXrzrdn9X+6T
-Y5vru4pEFY9UOUwLLbqplvFy/7D57wJ8CkVAfD5pemSyprj13Ze4SbacCgM2FooG
-U03FPoN/fYt3uwU5qHgMRciw/SZPvIw3KWu6MBFTE8HHBfYEf4d2QOAgiGMuDQpg
-bjehT9JpF0tfA3ayJ5Ybqpk6udOFge7YhwsY4ax7kl6eTum1j2BIZoNnwUAFdoLk
-7MJL77U9WJumvW7aBr+SxtVR/7rbjMRU6/bdmI7Su/ru16l1odteaRB6yMMDjNCG
-TaVgiV7v/H3qXi5+aovAu29zvc1ELlvo6P788bNnqcw7J/QsAQZ9b7ClR2NnPZPD
-GErZlA1+FlkDsgRev6rFLqw9vuj6KtKCt9lSK5+d11Lht1kstAkjjCwyaqa+73QV
-a9GveNDkNg6XKpfb1DJa7qg354qqzdT4EqW76FzMWI5+mrOoYm7j42KDFFqHlxBO
-bz3tX2VUw7uvkOYkASs6UFN+nh5KHSkkb7yQkoNScFRpZpkLuqPDXoxo3g0CHjeU
-YFeQzATfzwKv1sDuvKzsp7iahULZLUliCirJvnzp2ii8mh5ekqLN/3NUNe10ye0/
-zxgDdajPTo2vYfG6OzlV/o3yejabqeDLSspzGB/KzUNzy9SDROjXnUr3CPOzrA2N
-DOo3rGNsJX/roovfN0HH7xzzWcM71gHlgoX4xoJd5xUixAo9AgFswRfUtspzxRH8
-9KZRV8NfHXFbaxCryvM1O4bTyyC+47o/HSAOuCM6tCNKGPIh2rFEpOZljHe4ajFM
-xxUNbyYBS430xBc35WjtuEG7t6HlT48TwiQo3ZxYJPjYwJ8+HeZalLRhIG7C5A4L
-gS8wMnDlom8RTp3CJB4kYOdZ8pzVgKFJA7KUc0bWWibBqnEmF79zoEYy5cIYgpZU
-pOeJkegkLecjDwTvap4xPV1imDjdDg/l47SlL9Pj9C4Cp5rNQZ+umHWLVvrCsKaU
-48skFQWk41nXVFT/2BDngkTnLvUdsZyaMQ45vXzRNZaQnLUwHqu8SyD0jx04Q+J/
-qpyACCIW+HH3OJWz3ED8D9y9t1MMZAZAImez9Qci0pnr0amolyl5Yzla+eN6F8+0
-6GnhsHpOe5o5cmI3H/rxmM7VNdWgbmJfVsdEjjWljbEKeN40rMdeg096w0bhVhi+
-3upM/APdDOSSTREa1b0E6IO5a7Zv3CuHKFaavwJaTNFywaFewd6SsG+a9QeU4nY7
-kndGLCPXaTpKnQbQAovhkqMDUk+jByhYF5ZaJOVhUNm3zKGg9RvVcHFEkB/TB6GD
-FQv0048P038/S6Unlulk21Tu2lYd/376UMcXssZPXui7t6teH4DqiRna1fow8nev
-d2xyDrHF6oOxOL9r8NkNHdmyyo38CAsToqq4FwVNmCT/U5OEKk6Iurg50fKs7dVN
-Z7RIKwAteWxyibvgAz3EKOvv0Ev+t0n40JK+XKweidp5fLofCc69B3zAIyzUhWl+
-UP8/Dhr0pKlFo3OWWY7d5NpSI2Eoi9iF9DZQhsJnpyU86N5k5FqFKdiZVeS68Jsr
-ivkgOc6sDauDvjET1LICaXAbSNJMmsWKqV4ctJZsKP0FLGp2AD8tdrUT2EkLHQP3
-sRKUfLCYr+ZTIVUIT5AADRltGszAYpcMkrLFX7r2e9+dwC4OzlfkblyQ8eRdYBmk
-0XFsKKYKFMJuEV0e+6a1poAKnuQgzEHmyFQ0HGIq6BfozabB8vdNEFR7Z5v1P0ZE
-0xbtTl5+RB+vB0ssWvxPI2zYD9FPhOj3P5W4a1cP3qpq802TTtZSp8UOc0oFtEuT
-dcgeYO41lF8A5pBJGK9UaDkt8CkohivzjjCTz64nFyrcEhaEO7HnOfd94rxDL7xe
-jE+BnsbOX6KWTf+rG6vjDspqYDSnHLO0VDc2JDsaNGTqWBggV3hPU52YiRdtfLMf
-2TkJeIOj8bQhX2YnD0DKBrUsEse36BANcLaAADNO3MRrnIsRR0HS7momi7dSRtkV
-308fGd9VNk2CQuBpZtkzaq7nGCXU+mD2BNPPEBKYbEsUfxpDc8X5bl7tX906AKpU
-92DSLHLQWnz4ytHwGlIvAhvlDdaR8s3mPnyVDOStJNXjLa9ZFGvNBLsWy3VwHq4C
-kp6QFDPaBxb4pxrgbt9/2zLUq+RAyZegDNf+br5wH6FmUVNYMk/TmVvA7qKtSM67
-fzq41wtMYnn75HaMy0P98NL2F6caLvBC4Sq2PC0D0gz1GbiRIbCH2niRIOJLsk4c
-Px7OrW7zVP44xknKIK8xVZ350wheN5JehUk/ZYfsIHOSs8aLTRJETLe6cjIMT9Ub
-JSGPXaGcPzP96NYlr23SbiQb6dYTE0MNMK/rqdPQ5emN5ojW0Igel+DR8qsLJLvq
-VHEo+DPjrcMfDN6efbYPCirkNEfX3IgfkQuspbppjO1J7Y5LxFKfk2wJfK+6VTxP
-xggHIybDxMDc36ngkJiWqUWDUt6/REAqr/wyQ6cQgiy5IsJoQeA+58cqVwVI7Zas
-kdbZHlzaq5kLmERVNZKX0AKcCNMdMGLkH2GMoLICOP+eWA7bm7U7lBmtQimP2gmI
-0vBvYr2HIcjKB8pwiWmzvN9+g5o6pxMX/sMgvhZ5Kh+zG7lx2BXptP0RmkkVVtJ+
-ih25Am+m4p7bbnM9rd4flW2aJyf/5GO2yz3O96MRwjjWFCDJ7JTCvVjAafVJw0K0
-1u7j/kqqjrrvHGybJHTM5mKBIN+WmaF8IVu1AHf+eGPJnbke3M1lWPLV+FV06+yT
-ch3uekpVI2OkSl5jEeKEj0e08f6c4eaD1zaZDsXcYVke+t2BAeE4W9djYq+iDEcP
-76AKuTok5mrgBwSjxjQ7o+7eWItDxw3HQ5SftQfafLxixt2JOZ0TZeLlH+ufVeRS
-NqunP/pOCa56hzCkH+NgJPijD79bYl43VgIvH4dHAkGTRFU8XcoLlo5jN7WSv9No
-C+52KC5YyY9+3I1UtOWhVs8ALT8Ekw5qPeDIhROqyHVoHmTX5OtaaCbK8QcLik44
-oE0lIJtmLoQMP+ZIy9cXzU4CXock0L7kfCsWFbHMcEylqAMObYUHVGEjZ4N1ChiK
-TF/KcZed8q9yqCqZQdCeI8sTLaONg0ds89BHGD/bBYNiI0m6sDJsVwccILdAUk/6
-6zMTtX7pZH9FEdOE+lrzMi3NN5Nompo1oGl/W8y53xL4HBpU3+gc8HwokQAb3Xjk
-QACnFMQw0OsD8mhjv7LmaAeyAFTgX8PqFysxUdvzXUWJLDRmkMwFtdapj8UTu9Zj
-tHmysdYXPq9UtUNOUPmW7Tg/jlBlcmmb6FbguIKdzUns/jkkl2RhcJ0sVVvefKau
-vnpv92BD6Y9HHqTLqdMndia+fswIzg22NQUmpUzUTWbT3YvSOmaoi4NeLvXtFkP8
-zVuVYeojoOIaG3rwQlawiBHAUFvSpdsqaaODSI4CnbVCsXNMTqksIUXHChO9rVFt
-6o6Uz6OMgD9/Tpy7ExD6aPcIPTlIrWiYzDPqN+WW+1tfq45MrxO2OCA2nG6nfVun
-6au7ZWYmzFGSv6YIqNbyxO0vyTxKaDy9yisrumsBvFazMfQ+HTsfLxTV0Iuu0Q/l
-He2Rttd5cA9keMr8D0A0fow2J9v98cTOn6kR/B7qG07Pd1VkPuaEarWJRddpirnw
-b9+GtN1umUhf9AQmt5hx81wV9YGzbtOW16xaV6QQ9wLhw3lr1aK/uAfB3KPy4KP7
-kIqXycCs1yJ1DSXc75nqwgMfH20P3F7p3RLy3HoRK5PcyDUt3g0qvynSeySMJ7q0
-gEhP6bMSGjmz5E3O32qWQeyTW6umj9LrtCJ36UtLFpPw7LosJflhJeh276++baF+
-jc+rn7ctbLe832V9jkYmtt1Iteoca86w3mMG6nR0WsYYTQKNM2iFMwUcBUW8mqPh
-vhYS/xI6lMsdqvIbpXjQ0aBHB63OvdUESPIV7Hp3boOkxOQFsjx97dRpYDyhzESU
-uoPjTprLEcxgzHICxwJhV0fv9bojLT3uXSUUMtTyLwCH79b2NtFLk9iRT/mFb+s2
-WLYwXqYvzW4UdM4ztt8DI0pMtPsvz4+HQrTsoNUR/C5U9JWpbuoJdEjZzli5Pn30
-OLkGtsB6lQZs+6FWYn/Z2TsbvCV395f0gSDtFgUMNpOBJS17b7qEZvFSfmnCjJ57
-4hWWSxg6WNLZVRAjmTlpWGYQN3BrA6Bj1jppiouUlckvJk473r+NDbDD+mjJ/UKD
-5LsWmF8Xqo04MIsHJg2djm4TG3MNZhovSMtMC65CBnW4eLNmqlyV1ilnZ/YLD5lh
-hGMh2TSsIaol3L75XPZdyRO8EV0Eu3wNP+/WVKWLUzcQWMr/dijNM1EGDriBHN6P
-fQXklZTa+96JEdT0pMOD47CpmpFgQInHmIu8l2ZzCmUvoYPTx79UNZIsQpm2do8Z
-7C1FwCPzI/rG/6yhtT7BS6x0gyJzOlAuituB5Ly9i2Q4u0jJN/BFTnHhiWO1ZdIG
-3fNEc1oS/VPT1p4HjBo16F3g0nmFFltoIhQ+O/ed2vCBvt4COhILtJlmtrcyRRbs
-wQ6/2bASale7Y3E00WLMZDxMWoVs0JypN5qtGG5h3HyG+qMt08VttiqSthpfAE2P
-b+01w9ZbotpqyhIHI2gLVz3jtbwXhg21E+W2hbA5f/o4fkIp0gvWZindMwhQrm6K
-uKJ7xoVLutDgaw5EWOIgjjGfPk7WnYvShzOO1xtajn93R71bfaAarT/8j84adskA
-IlIMESyKO6aQYAWRrpZsSVEqCuE64v5pgCJeb/35MmCSvax74sUYJ9e5UAqX4QA4
-0yUshraqCjlGCpJ+8ISAtdlEPBl1M5+lSJPCOpHkQopqLmltc5h2gtHrlXjHyq6t
-XUQFXkuP3DJRVYCgBfyAL6mPVCn3qGZMrt6D+zHKQWOuXwoQ3K42WOmPVy/k1utJ
-KrXaIfHBwHm/I+CT4xlydoSrjcwMIbJVtbC+wlJsJsm2DebWPliqTjA8cm8D4IPF
-semuNtd0xyPymyKH1nujKszpss668UfgTw7JyQefExwwTk+Yt/5QoJGhCEgvonAN
-FhYETvOGHXJlYwhpOOFxU6y83VwNe8GVBl88iaFsf+5sdf4btFKKv+EkwvUUVuvw
-XkhmLdt0t6T2hRcphibBcN4YICGSvJdkRLKtNLfDpw+XFpvF40NAOhvqB8rrWT3b
-alyz9i10eTuZl2q92nRhVbzx/tBbX0jjKmGyvaCOuPFk0fboQlNYbIPzRmpelmSJ
-x99deb7iNv76D/eR1b0hLeICur1VQ70ZlPDwXTHLs/XD4YKMrIXIiX28Y5AeqRdj
-CPECq/inpvOGzNtej6+W/5BtCCW1Oc14TdSyP1qtRcfzrV/u5eOPyg6GAcXWCj4N
-S0H6rLitI5aitPTKrh9S2kjlIowga1FszYDPr9yl6vp/oYpeXWsMvOW0yPIIaFBC
-RMsali6GyrMO/y0yudEomewHxQTjslROJRhGAz57JmSJ2zM38k54pYMnc1EMQjdA
-aY1l+pcDfkj1JX8PEONc/akapt6nVpINWT3EkArvv9OBPojFVkIy3Gvu0MwklMeb
-AJBzk2gIzm51WESTl9XbxHLjJJa86iRmdP8o7TPZC1EUf0uV1uCTYWkCPq0CBdWm
-bQSA9dBR5eUSDWwsvguBfbkY3fII0i5jmQzrXSgC+JhxlhTl/e21Fzu51QpkSiIL
-PCBnxRqMNpHSWUwlQZI4EYBD5sVgPLF+PCAvZFdg1BJvo7NzTonFcGrlBAluNSS5
-0I7wFwJbApUGqdQysTYlCOxbAx7+YORgus2dgJFnSLO06Hfxl6Wyjt3nmoYuqzX0
-7n9nbSRe7XUd/5gGWmvDfufjNTfiLS8Is90gOX1a9AHcChAkEWATYKpbuzyQ4207
-Rhnxf7SQYud30OHW6Lhz2ojt3wXoVeLhUFvz72vDgcIcDsN1y+U4ktcLdZUWX4VV
-u09VAn4JINlZYT0KghfnEQMLwkHw5C6VQDOWPq6rXvAreKSdOsN1GywqVo4EN5EF
-0rdCvb+BWxvfGrGluMnBlDmGLx7DcrA26h0c7zKjS6QctpqTfws48PGBLRRcRU7G
-4KZqYDKdBSCywMrkT0/my8Vgpu2Sa4FixMWtihJ+VGKbvYrlIX6R9Lug1tw2Efjh
-fpqmFf4OpBlTS65TvdKUs/EzER/cP+vcj4LgEZL38LsB39ANoYH4lA4j6nx5oyNc
-QKI0OjKuwr7T/PiJMLmD2lziVYnA69XlqPJmOVdZR/zarc+LRIHds6iCy6Wf2hC/
-mQ/5cSDMBeQoScYgLnH3I35k5KRMTEoVjktIglAiKY9daNTu6venDLlGbhn9pF5s
-OYtTLRBOaqmnddtIcOrxoBBE0UcbQ8i66LFKiW4d1euaf6jMVq6y9UITf8CCvErv
-AWsN8HKup7sNBmd7h1652MGqf2LgrX21fkbqDTWTaGvC0yoy+TnVzq5wItXUV/cC
-c3El5eU1MgWkasWjg47NCluG2LVyHj2acAirY3Y4H9LQzplkT3OzUQR/XfgfPaGB
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_resync.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_resync.sv
deleted file mode 100644
index cd75a3e72c08d4ddc772707c07c285dc10ae4fa2..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_resync.sv
+++ /dev/null
@@ -1,97 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-oduZ3dZfsOUYq8ffh6KfIcVZSEJbypyQ8CAq5n/AM6tgoXzPLfIWkt2pk+ofPN7m
-ZpBteAtaW3AAM5kmn3KA+UHNe+52B/EweygnRhlKf6EcY+zu+LynUqSk6hQghFSb
-yrhtWe836ZvulRezPpvs2Seee43TyzM6AhExiw4rN3s=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4080)
-+q1puak1DXybbr7ddwAtFKCRUf+/sIKSIzZcWKyfXgbyihy6Ag4va4/DMxfi5Bee
-TzrRkvNmmfDBEEaVJJ4ymOu5Lof55jJEFDLYDnU2o4eh4LUJFT51E2+miSV/9CR6
-sWDI6H4uTo68qWbDAoC9pJLI9Poa/Ow554s5NZXwf+sUJJOBGHnuq6FCZtXyvHgu
-NnRA+ae7IkxvgGZdeSk6d6LAptn+1KPEcozhzHKVjZWfs3n1/qVFfPQrobw57dqv
-yzX5c/QDVeqmMiDYLe6vHgBy/iwUJBUcMTY+xrqov6XUwFo/uXAq4ZI6KhezCb3j
-l7EZDYhpCLKmd4Fy5n4dTBmDarvVeURIWjFrMU4/gDQ0E7OyaIZfQIR+ri87P361
-Bqg12ClgpJkKjhPZ3NPQz/DKKqLSR5CtSB/ouipIF309oOcGQivT3nU4AVlbV9X5
-3P/YCUIETzlFQvsaTOjJy5LXFfHx5nNpmqvcaxTOTDWEgIgWevPlO2GUCDj26oAm
-/Pz037dkOYDsQFMxZ+5R8jLQnGgbPuTOqnij0lTSHcdpmH0bNvyWkMAENk4Avfh0
-dJFozcuzWb5kQ7GC3UclBKtOvUR48ZLUBia9gsilDmfbWi/rBha22jR3+91mMmCH
-UPcA0PpxIXFCY77D9yQeyAcJNwA9n1Yu4z2VoBBeQigmnmj9PPtDDVShvQULki4r
-NcM1KXQ6bNLrCBNiNrX40H++M1+V1Lm2w2ee0nhn5/H3j76C58VEJ4Meywvse+0h
-JzrMCVLe+lPQLz9RDb3peIlbWcSY/BUHeNA6PeVYlovC86QBAzcl2SHfUw8sJmFO
-2xFJOadqBE5M+h1LohTpyQA5WxNDac6YuEXNA1UrBM1Uv2YCzzrQv992t4ZxMUKT
-IRXaWbnPH/3zm+kB5xM2Y9t8PnSEykzZAmlGp3IvH77eFrrfVZAElh0UcahLh0vs
-+vRW679uRn6Ti+VCvmEMJl96OVROypJBfbfYCRlaLuOr3CANwZxqxJmp3CkjUXWz
-BpEyVXQmfFu6uCNdiswIH5u6wtD7NgjZCQWd2hEWRtXpkZwVaxTPp5x3xxhtQS4N
-1aCC1M1faE49VPzm4yAKur6MJtNIPm+q/gf7sr4QdPQJqzWRxnP/O8bktEBmQ90P
-SoBNRU2ym7oan1KDCCGqJgn67q+f2LH6V+dAec3xHHBZNawzs0SraHn2FnCWWlYa
-gfaVF2lJZ9ueZGVWaslBPvBaGJQncygPyv5+RM7qL7C4oUt11l2kNiWOSu8jhjm2
-mgFdhVL5lGiitasVtPIcLKd49U9ZndWKRl8UjScpitjoXH0Dl54RcPH3bt53z2Tg
-0MQXwoLXup5WGjM9rExluhuZuG9x+jpJjBBzwhQYv813bTXBCc2BOHYdr/j/Gp85
-h5b2TBoBtV2nrb/kP2mbOX6UEV8oWPhcQqwe0DnafsqqccteW/i44o8COan68LzY
-jJ2Wt5JJEnYCH9NTyqj9BawqmH+j6cJoFpru1yr/U5AoEgjfM2GmPW7HbF0o5EMp
-EqlU0D5owemgJVtYT2puA2efp4xBidtkW51y8UJa1zyyJ/9twNZ1dpEVycAJelHb
-ujiDULPZmCgveXgNa01qxb1KZwBUAxvt1R0viRnIAQsJAt5qWDdE2q1ydVo0swHl
-pvtqQFIu36Ybjdhl5NLApJKQpUk1++LQ/lp5v1BO1IkPXZDZmw1iyLt6QPhIGUle
-DH73s4REIfEfbEF2/6V8852K+i8Gua7GgYF9bP++sMjHqDe2z6EjBggr/RNZcuM0
-+WtID4frSYLulGHJLGOy4+MzmVbysF83Lqo0GX6ANolYLoaEg7GyQ+s23a3Fhtg5
-kftZR5Mt6ZabFB0Z19qc18W4nS1vS8Py8wcxI6Io9v4HMxD1WUQxPDKWJLo+6bus
-CIiUr/nSvTLv4w9hmkDeQ2HocOl3MAF5SAhLjSFop1AeE2GCFn4qA8LwLjcBZTY9
-IVezANlwp6aDPlhavlqhp71XvVLpibCd3R3RJJoijvvtCUCxSEcoXlZKiJt7/doH
-GItkbmfMiYvE0uIkLDyvQZR/N6VlahnC09FcxWq1RDh3Wtfgc8xZ5c73Ep8o/Z2Y
-Pxp0xaDGk7b049B4xQSS0LoROwPn0MzNrp9bxUmQuq9YbVv68706cg/QwyDwWdNj
-vydrHwB/FoqO3VqlrCuiW8jMgRKfGrfquPe7XeH6m5/LnIIK+9es3RLqWsAnZjT9
-QBBOQYI00cLoYJ0ShIwo5F5FxrVzp0oWkbsN/mXMSkB+JCuHJylvDcXrpB8QKM2F
-5WhMX4hTEC/RmMZCPKTlLOOamKdV2D0jhLCbsqssnXg6E3Z0IwGdwmj4RQCZydz8
-O1n6ciiFQKkovaduX/sMgMTzvJ+mSLWTnsYPjjYRSsRrm8fbGfc7x2B0IBdwgCGt
-ykdyR55B6D8fzRLP8Rax+K4GqMAOk++Bx9q8UCOSN7BTHdmYHCAn9CCuQyqKYKLk
-Nd302R5K2B73OvE+hvNgWaDzuawqjfrXsCEVyoIIhfWDdTqBWndjqdp7aoynyQLQ
-w8pae+aycFrYe70P5/u5iNw8qp4q4Znmz9ARtjq6cJFGm5o4YIdBhROnQ8k+Tnk7
-mRayUYJnNHgmvUwUg4hqjV8dQJuywzLDBI0TAvxTFiCdluMvwM59317mc6Jwk8vM
-Eb0b57+WwvxR1U+2b4rcC7iQzj+Il2/beU/ZHPGhWpNLXePpeoG/F7C4nS5fy97z
-sfvOPeAmh3gwQczPp2VQWlR5Px8Rr0GHI7hWu8K2Jn83f06lMF/uf2+b6etmoHZT
-oTON8QA9ZjyyWnZIrTDOs/jnVCgm/66UYIbQwwEsyIUZ/CkW/C+LF9odt1p7REZp
-kkODj/2Rs1/rN3rAxQReQ3CGI3y9rTBi9/gP1EL4oqvRQSn+frL/4WmGvui9koIN
-VzZ0Joyu47iGQF9CPv40MYdxv0LODAr3Gjb0TuW8W1MPZNzFndJ98taCf7aAMWwX
-bi6YrBNLGNszkfredDf9O40tW6vV0nYqsQKglMTpzT+ty6lHYtJDGy+FfrBsth8B
-FUhdVe3HnUIqohp3tQoVjtqcz7pI+EKzrUOLxv1fROrZuOrSCYOr0upNFsFBEfGM
-xOE1W7Q2rrUCYSysqYzIvEYO8oTwio7/VT5lvG+J9KCkrlkG5TYnUufvqj3ZwMKo
-2aEUFegzLeG1ncvA9zERjgRu0+lIrwhylte+lqMXrZNReyPv7m0SNXyZel+5+UA+
-wxvY/lR4qAolEh85XJHRxxy/61e+Ij2Aui28qC2cn6S6xScHduR530FxPSkSGtf/
-z/3iRsWyaCY0u572bEk88luoFQIM9WGP5a8zWB6L5ZXPcG4iar1iFrEWUBX1LGr4
-e4bvW2u5cD3lftDJUZM46OxI7IFBsBDAknxyIR+mPLcyCPAQ9hT+CGWPGIFiYW3e
-rLgdIccT4QZwZ6vmxUo5UAn7zpVgG6nFmVSf6WvzrHi899Kcewh4MIRIxyRJ3LH8
-2C0tilFfGIMUv/6QTu5GZWA7SiPoEoFQshLCkRyznhB6I0zBjhg86Vr7v8bRrq1F
-FY3tjtyHatCh57StBDx5T0rRwnqUy3/HU+a2fZH87QAhqdd5V9JtNsqslR9r1MXG
-u0sQsAhNOcOL+z7I1bCzMXP3E6ajMwns4I3RTvelpn/OKwqJwoKVpE8Ew8t2Ve0M
-8RotG1vX2Rb2+jJ40h3Xtb1DcU1dGpJI3qsinnPm4b1u749M5PsL0jil0UEEYc45
-ez/+wf9YBao5uVMQDPM+aghEIDN5gbLLyHgDitA6ZwJCpuntCjxZ4jrRPTo6/Cpf
-/YVszL+muOYraDdT3uZZFSx7HG78l6MQ1p3ucpVKvo+cLIfu3mKsk5OfcUrdNeob
-kBKDlzIXbscBH9WfHk+7ZE7dgr1a5UfVz/OR4xoZWZgKnNPTcRcO2gQmkLnvcDt4
-qKU4klPupNp7aegF1LzabexTpmOpBRsIz+H7LDaXWeVVUiv8mORe60oMPm9il0nC
-r1tIHa4/0FiEEP2Js0O7r2PhlCSIRN6rPCHmIQw8iOnByZ1O8rZSp0KI5xs0IDVj
-7RlFYpDMiEiKqTp+LQ7xmWeZNoP3IqM0hG3/Ifdj4vch3KnmD83ua65fmjlWao5v
-YiNermIiI/isqRVLzNeeC08ZlwccQ39t0k22CnX/4zS95JILM9KsrUGlxatLQ3pg
-3VHFat1PhL9qWmgzWtF92qdHSqmAdhhu5ukA4obhqGgWzvw3Nmj3Rzp9XTNy2iFd
-JpZqghLej4ehlw+ATXQjw/bMRYKlG/xsSIsBL2DEgFSrnXZv62fWz064LAX66qIM
-oeo/0fEApINF66yRmHHMH1wmyh0pKfRR1pROfoJ2GB86ECkLZEQiD8TACcZvhVJn
-Mt2Y7lMO5UkNm5blkIkMx22yfCmcsm3L2WoErr3KOSXPhCqp/2CbVm29UX3ymumk
-1qa7gvcRP8LjSkCUZmuQE1tKQXdViq33KUSkvhP+ldVfRM2yie6A85STJa3FUh8f
-dnK89WKuaOu+q5GpNI2Gtw+fwtWLC6LRsbZQMuylrgngIP4GVK1lMdS7k6/V7OI1
-0endow7Hys1ObEwpM9oB++ib/WcQSxyqahKTYLVb+bjr6SCidO2vcFeYbQzpDTlf
-6rJskP60b93QCt3hiov4y+YJYVikwg6KeT4F4eOzZrbedKy1wip+3nBM+9Qs6IbP
-cb5YvPwAHIgq3ldnWHgYRFdK1+o9mU9WbRdwDGjRYY6pXSPmjCHefqYCDGKyMtW4
-5YimbvjGfgF8iMYbGTJiNomaa3SU1H9s5Pcc1GPiJYjiK07n9tVLn2mgIxBHIAAr
-c1LSOSizxiUR3yCVh9q7ENP3/Gg7ZNlck9QfJ5Kmey2w34lBFu733SfzmGFb41Kq
-cOkHkc0QOnUFzAilJj3FtEghJS1gu4QDPHSbM72b6utHMohCIZ/vr1Gp1MQ229Aw
-XBNCJEDSnUIhxNri6zHWIpWmykMjduSYrt24WrdfTtNyALdA+bqgFlJZJXtf5LWT
-2kw1VshjAyGLQJEJZRQXdMri+T5W6R4tkG9ZvW2LUuvgKvgOEDYCVwqhAursPczd
-w8N/J2m2zuFd44i3vhhlEZ9Mq1CCO7GtHpNLPnHJ9YmImfGRgkUwqeZGglhZibDb
-8AImNYnM5A52TxSduUU4l/+xcWPKcAmEFbP/L55l4z1/LVYuDAX/BLVzt7RJGEAT
-dIGCm29QB0d/vdhrkEQh0YMYAhRr7PhADoSw686gPiCDYZMqLFQIB3k/Jl+HnrkV
-y25bAFlnFx0dl6kQEOBGGCEa9wObAdfqo3jv02ETSeWdO7F4c7K5545iXY6omFA3
-fkpLqqu6ory93fDw4UytpHyuYULYKeZ1NYMCbMjjk7ycFFmV4GQVq02yfXZtzppV
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_functions.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_functions.sv
deleted file mode 100644
index 1c7a64fc2f31b8da54fa7aa013b2202d993126d0..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_functions.sv
+++ /dev/null
@@ -1,730 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-W9gyFdhqohBOcHYG/Y5iWRsBmpuhSvNWg9/mV63TJ4ru+hgoMXLIoTt7it2KHzC/
-h/NPugBfmWTrV4nEvMlNvw44Py1QUWLhN7dRjNxD5+XofJaQMcla6H13LzkE9K4Y
-SNKt0iYmqQ4kIM2+BP9xIgaoCion9galugtGjkBdRRk=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 34464)
-ODgFN86SK2jY+9rC/r+dwtWBkCZU7u+/yZA4yq9XawS6mgTNIWjSTRo2O95kcIhP
-3WtxH3Or5LS61n093fe5i/uYaIU/p9SYTlFxgyq1JCJ6yGb8xMvbqGjkUNQzSPoq
-541kH8l2lR4y520e/bGZ11fzFuZRq1/YP1LHBidQW1sXR7SgXAckBOoIjOuflvHA
-yw9HyvNKOWBO7orwG9wPxiCb6aJE3oPjlqHVEOHmli7rdtjBoAi67fQlc3kW1lW3
-a8Rn0MW2tLCt3ODzMWITi+9X6cxYOCt/vD7qtc9uqNkIqopeRWwGoKej602hjipS
-Ee08q4i3AjQSmuShrrxWsO2B6x61iQn3ySBgBZdkvVgIglxZQosJ22Ftdhq2Jv8C
-YqW6jNv2VAlf7rAsc4AXDK7huohpIe+2IoFbbKBlvChrOk2GUPmCy0zHN4L36OEF
-w4nZkyZercl+Bji7ZnuN+tKtvraVss7C1vdN1HrJ3lB8fcrZ6HnqMa+z4WMWR9N7
-yZrMaY+wRX1HkT6ITYKQF4c1OL1Ej4iKTq2+RVaOYp6p+vz8cz9WoRT6DKcG/HNw
-m0tA1LOpu6Mw2BCIQjcplJUEtcSNWZQOX51/TGvn0ofG1jsDd3kY2uGBmKmDOAad
-FG/fwQYfOw2jTQ9kvzTnALYRH/Ev5uu7GbaJXGGBr8chldaSII5XeWC10vpTvmFq
-rRT9BCStr+g18LPOLf/8+I6BHf4B3R01BcV9RUqSQjZ3Oe9nIIL9su85CkFgcCyW
-ZhQgPtFENHGG/VV4oCs+iSrS+pzSq3t0k1rHdtkzF5rDYxk6V7GKsV1c8M/DTYqa
-qNB8nF3f1PVl2gfpPtjRVj9icLPzYzem9RuiuaZb6WUE3gzY7hdQfWK2w4seHbA9
-TZNYmOt66UZiKHusMSWvIP+VsFyw2B+rWkl2Veg6do9bovIYkMQ8h1h3jE7xGE2i
-MYHfw45p0CR14bSMf9jlyMr90UyKQd16gCnK7hkht8see0+jY0U/CIG1PRHOQQPO
-7aiARkfPtvMUkqSoabmW94xy85zu4EjlFaOqU86+lL4rQQXWdLHe23PMUo/N63ol
-j0rIYIvJ+8jUYqnuG2yCGIrZUvwQwfUNKSPvcXHdLw1SvBqgvtGDV6vmGscACaRl
-P53J62aXYvIgtyWjAg9pwbVF7u+Cmbelo5yj5o2xhctOoQmv5+lXbFWvJIFNgEpl
-r08qlGcVrbxRFGmKQ7g6h1o960zg6BGaihL635ktJ4Npi0NwcXYd3B0oF33vcMsG
-2MuJqFFiAmq1lGKwlwlyXx5S1VEvyPPXTOr72KbsJ9A1eTuzKUYKO7OPoN41cgbK
-FNUNaOLCJfuWaDiWaxxHYKHIY4OelNv+IfLM5i4/SG92BGj8hjeNE8ubYxtNJuSx
-WoM1K3LiQ2sZt16cQGYUyuNI6cEL5f7YJlKxzxwjR/M7afFlj26HdrODDhCQrIIW
-X+PeFs93Su5gmShYnLB+RFwP6axdU/FNIzzTim78DmW+iCjLQYuF779QSIBVsFyS
-e9kzTnf8QWOpvyw0c4AO+ZX+EGpSp1blAMFFnbOhxipjwsw5E5Hv8QRC1ZHXjQay
-Lo07KyFdc3Reld3qzwd29BopNRuVvq9txL46PHL/Fcm4QeoToRKYgIY1zMnPFulr
-Mbqk8zzBP5S0eOK+Y8tqdxP8d6MHyEKJm59HWuORRXgGUsWx4NLisIQbRjiak2P2
-hxMneybpgiF0psWIP1auoFo+xKr4RRM1WVjQaWFj/pkLAz8xv9VP4ZmQNXeRx2jB
-IE+xD87SsAjUGF57WCo44LvIpjPY020+gHgWKgsk0/eYJuPMLPEDLOjEM0ZF+t7W
-2dYp0feBLRD6RvtzYNKc0HUFu1eN4kaN4Q/t9SSIKEBNHSNFHrabzNq+gPZpoJuB
-wCbPwqdYAevcwGWIRmoR3CGfh/CCc92nvWN2gIvxCVWVvWvyVQR2qvDR+eJdhIyH
-mLSBHFvixIOyOCohXn6930ciSCgAPEVAu2XmPZXcu63zq3+zUV1NM1DwBqQH4PCN
-0UE04ywFUu56scYzWjYvQJAZM71rAGHynnIFg8CwBMTZVQ4j8EbXC9f0UE3BqvHJ
-DP1cRQh9XmEpGTD361Ng+6cGigsPPSqkLSNPAVhQ9ZVMqq7UnCDr33938iuWpFHF
-dv130wG4QPLJQe7meLhFthFDZO1hVIZk0uZp76zAncNGlGBPgmKXnicISz5H3A1T
-SxRnDdra1ZUFKuS82dDJ9rqnvma9pvDd0MY4+PUlP3VWWyxdq6CwDCc4mIuTHnzT
-qAx8beoCXLk8l6/l1bpuAX8Bc1Yf1ynXUUFedUPtJ14UYgTyX0P+L9ItVtWbtGKn
-ibf49nj8tlqt7iZakL2F0Z0y1gCp8xm0C9e1t9j2lbMqlGQbqm4aAXHnayNehdb/
-d7Dw9bdeN9BHQee7nwmy0bBtjdbm2buqnc5VL8k/1N255u8Ajs1wL6xNQCKUwR21
-y+G9EBnIYRzEqWLbYbbrieM7xaFUL+RbQ7q707qPOPmbvtKfmFPaMGljWB8eNCNP
-iyK4eNVdj0GwQJwEtoNmEdsiClG0bwO7ytBEtuPIM27yO/R9FRlDKxcT6vwzZrl0
-YA+VYfWv1qpEqPkF0u0eq5D7F89Cxq8Xqf+I1rjw+SRRu7eUCjFl6wq2z9MtpNms
-qKi7/hCXJsysEFzgTLJLQ0qPs1yJ76SUhnihNMcPYe/6+5XLqIvrBotMFVEsf74G
-FXreovvi8uQ86SRTcSikh1ycf1ADBl7G4wRbhvg5wsECqQpjzVTru6bm3Nxn7CqC
-114zePa2w7n9toeXHMWb2SIQHLzRFdkPc5DULm0dZ8yjXV22RrFxxYES33xLfj82
-3J9yMe0snwOAowy25wDXrWqnqLzkZ8oNuNzawZrgFRSScCnxQJ9sfdwSjXpnMa8+
-IqyKUPE3YzZLCPHddOaggsx5ulweYj2BqA6IaGe/SYIFzoJ7DzqNxA8FLJDUrPLG
-jp+M2iKwGUf6I7zWlj/l57qSiKTUUCm4ANb/JDzKi5ObT6KTYinKcN7SmhVRXYBY
-yEYNG2m7HVp4O9GXxJief00SacyNzMq68UvWaaBEbbImi2ternfz3+AJUNo8hEgH
-ubCZhuH5IlZuXFWRym2M8/Hqp9IydmfqJYhPvI4nN0vpzVRr7gOBybWTyc+bzIEB
-nLBw4UsC7fuJYAVqZFaVG9h77Pbh2pBvIlPk1RaRaxdZgfE7HDJ27WTxtStEfJeo
-MAYfilnofwiivIDeJsMTn3jSqUE6X3ziKalV8r994teWFW/D4AX7sznDf9J+vrIZ
-HdFBG9L3KgmSFdVbNMdSBHEeBnyoZMdP7Tj372fwc07kGBsIhWBO/O/ur9tRDOk+
-wwLAnPgnrt67xO6Bad9S6AyQ7n2GApIEhr+y13c8J55KhqiaNWVU1ycYAxB8lbx1
-veRytCk6d2RvzM9Hwg4xCuqqlpT3QLeeqOT5EnntQ+cSs2kcFHFFiD/2dHYdICgT
-EXvteZveYFzrBp0sWBrLBZ39kxPEmhHCXEmJPcdRAruCjTYuhX0IPTFlxUsbQMEV
-98rV2gaIMLPEtAaw3S7a91FVyjhGpvzD6ruIILJFfrQN86bBY0gE42+3MFtfPlNl
-9/dDLITWSvL93mhCclRfOWM5wNbLzJmRgcxLTMLlU2Jh2b8j1ZMYwmxgMb491uzx
-fUR0zwmb1r3/3pazARCdRAjNiAMPEo+PLaGGmOL5Q7+YqnVYO2REv8wt6aCpSOMH
-zDi7p3b20widgxHml8SNoWRCg2rHnYIK6MDbep+LoZaBbHjBHzI8sv1/I128N5Ls
-TJocBwtmtIrA2kpNMYMR/wayvWsmpRmPHRu2yGd7uz5BQ2+glMy/CmL5q/VJ8pvR
-KBHYHaZMMfsl8Cf1XwMEr7OFPQylkCyRgAYqaUeLRQ+5swRecvQ6NrYXpbx2W+uU
-grNyChOAIThaQCm5QnD8fVhMlVza19y9erGUiWzTuv2e6saTGdWtIc9JJDgVblLc
-g3Nsb0VoRceyYd28qb31FSFEo7l6UqESsd8VdpGFqCqDo4zsGK8srCxsvVmZQxx0
-EPSRKjCJQnBjc7u6dO5velqxyzHsEpew9MF457P+r22DsqoSVlNLKKdBU6q1gURv
-fFYHgKwZqadaIEtbUZ75zOVcCjQzhVUgLapJzzlh7iNZ4D5CoFBdpr1kJQ4VPSqC
-K1uF3ytbkL/y5+3ho3r2bKlj3ciQB66aFhE8sSiHPEgxNgnHxwxT5RUdMYBzpVeG
-kGhEc2PW6Z/G7+c+75sZadNhMIDihaV8wSUs4NtcH2KtplkEXyu8SPtuEYieNt/o
-VzGROL5vA3ivpUg22AHriiIQa+7ixo8lEaWe8wYRNXQq7k+PH2MJOXMRScK+iA3t
-rpbRptBzdk1kYLGHLX72wJQGqcRUMIciJ27V74gZB7r9JCOSWjYqRlPql2R35fkO
-oB7uMhkITcGsfxBakJGjHbqH1w1oMtTDygfrVMhSgaAEORzpZgWOU5yEiPaXNoAW
-RVYr1ttgxzTQl1dBwxCGMrSz9534kbmTfwaEtVDzHOXtSI4NMP7C+JZsEIflR43V
-/aDKleFIj3w9tTstaeA1pUORchsChwZHdSl4ftKZqiBnPJ7dcZvnyTUbdny+c1CW
-k4ejt5mgy1E1Jy282tbzU3OO7v8r8Mqbk/mot9tuOLi8q6sD+GyutU+5We3v12wS
-rBBRB2UKYQyFHQbOmuFskIzREtKj/RD0yCN4wPYfXOdnbhaJ+wzRg0VlnqiPjEOz
-CAVNZ+0wi+xzCRiwm1TWMOohUpe5cGkRt6tc1ellFShTU3V9IjZ/UrNPo/j3Kcmx
-hJBaOmcJwCU2AJ8WgWE5yk+xaQPvHU3vZYw6scs1aNfo2wlC7fFUaE7XbKthuUgR
-IgIS8w6CyrnAkcY5NhV6sWGLst83KYofBI9YUli2iV6KXrt9MC7678HplcFly15R
-z7NSR1EPEoGLSmDrZKwHhFKoium/IQlFj9xHAgMjeh7ubzZFrbmg4y4OXUfsew8t
-riep6btoDQawdFAzzeRVwHkBpyw7CsaDwOwHOU+vpKbuT2Ec0SlNhjr+xrjP0Ixy
-CGyBCEKyeNgPFemjn47Aj2Ji0BOeQdIuHCkwBIXf/7b/Gr+aR0egyi0u1oWDpMGT
-JXH0kkXb+CM/wTjJsNErnXdLAYNxL5pRDzoXJAXD0qHLf85Yfb8G2RRg0v/d4LlB
-WFpZlhXWzQrp/l59ZtfRCWGK9TSAwps4ojE9w9VdrYMxZkBchB990c5+78mj/eNi
-29Texkzmq3iFGFedRUAt0g/vgU2AG+D5BfiGybjidoGTqcRshl96DnElGGEiaIsr
-ADDql2nV41nG4jztJFVWYGhEQzQytS+Qrxs7J8meuQC3FNwRcS6LfY/DiE2AM00+
-RHXVz0DO5ZyvUuToCoqAiFiADq+MOoOp3iCTcE2+fd7ISXN9uU8waI6EUgUM6qwR
-eshnnDJ3awXZRnOYZsc/AEDUdfP8NbUtbjcrqknfezfajo1CU6BTY7rTO81+VvGj
-ZiVG4+Y04S6dzGgizNI38T9VnQUpF10C91kXnYMPkKrgE4300+MVhW9dHOXUwbgY
-5akmUpDRYVf+H+rI9dKs9tyM2v3CWMy3RUKDfcewRvG7uRbeGf9lRymHtB6n1sZc
-3OhrbIHx7K0GApM4t9Ano0CBtmPMRNetaIcZpuSXg+Tl2gOfKCMyfftR/aX0VXFc
-FLK6IfRlf97b3opUiS+vZFGR6iQt8asdum/JYrrn1V13QCOeGxTUoZRfpXZs1gT2
-oUl7uuO/o2udATbRSC5ZJNPARvxFiK6k4jTfti08FAwfz5t8nWXQYKm6CF07EN0l
-1di74F0I0eHEq7MrMWRLVAqCBsnNoEfiEoeFpX4TdgG7X9J4R3n75ORZtkgReGoN
-NHP/6pPRyr1xaPq425NXhjoCg4k15HUdPjTwG/lVqWfaZUdzXZcAE8qHT5uN+cAb
-dm2vA3jXZ637Bw/QgSFV8MkDoXpmuVu2JFAj06Ci83dAPF/d/bcOkwa/sfbFzi1a
-ZAK2nY5pkSR7L7+6qoaES7l60tyFHHp2jaKrjKALllFllSpkm5wDd/wrxz6lJGo+
-dp6FvMbVxstMRPyjs1WEXdKacIhxuDLwxy53x2rV3dookOIw+T9JM59EwTp/5W9Q
-XAcrZr9gVO+uT3hYAMnqKLOwaEgk1HbykqbSfFA4XU6xP6DZuITboaOzLqYQtOxl
-447YTPKYmQkFuH0Bb/bY+knP2ZqBd/EoHpDdKX7Z2DwqSJiLytWl3r4ObUhC1IJe
-/b7zGFzcGgOXoWeWa1hkwCvJl0SJTI4WsHDDWM8piGiAT4NiZTySN5rwh0fzCmuV
-N3hLia8kqXosCkjjxb7t1X/2Eok1O0wESXVwq955TF/SI64byKlvi0qVxZ8Slw9K
-AeBu6rn8mzXRE/PYW1ro4XS+Nh6E2JxNTf42jUalY/c2iGbPFJb8wWowQLiTrmDI
-foJVSrIWtcB+9BqfgSac7k70T66B/yvXIlwWko4OlliGoXWL5me8ppE3sHb2fE2C
-pFg5mnjOMLWxtt2hfCapD/H53IZ/tHPiw7sgZAdmb+VxO3+eqSchmesv8h8Hg5a9
-j+iF8f+tKfceORXV4PiIwZwsWNNcDPDbeENtawQlHTqYmJXjk31A/Pw7pQDUYNAr
-QVnIsilFYtB029AaYf8MKAMuOkkGyyBEhUNatEshioplEZ5g9RYhdSHssWKrl9/W
-5aMgt2wlY4IcVZTHq+Hy59zbxbyaPW0dSW8yvGPUftQJNGRidMn0z9Acl+ledIiJ
-V4Oc7DFubh1vO808MspdMavcJDlv8TwbAK1jzrQvk2Ycn+ycx2pUgozAYFylKBeW
-og1hhrQeUruKhZE8FaTHBExHQx3AbG67AqgB/SucewGY8gU5O0HSHoIuNFgP6K8u
-39PvkkXITKCswZyn1v07eh4OzZhCC+VHzGYi3kwFEkRiKBZKQlcoMuD/wPejHehw
-mGwaSBrXA6Qhwsl1fInlyRkVLJ2f8hucPZA3pN4oDCJ/THN7sPh2uBg31QUWNOmy
-KQPeM3LQZNV674RXt5xv1QxIjdOcU3mNOQSs3mjz19qd5WzzEUGX96YHcs9+xSAQ
-q6tl2N8LdzVJ2G6nYl6gaLdXwAfX59PCxEZZx01m8CJggb52QHjY8/4b6SUIkKb1
-99pKJHodXz47XlxXzZbzRroE+7okeFrG+9272Bb91Ekp4X9BDz3Pc1K+ItaeENq+
-VdjctPXHs5z2YuwMGfM+NO74JpZQSDDVEfBs2+nXc1yZkcl6vae35XHETbpYnEcL
-+YyteHQIvqFIP6s8i3m6nNSLGo53Ti831FkxmI/vEGTIMXcYmudANqRiAg2BSASc
-sFXrYSHx38UtAdTwo+7/6TgqWKx+QH+KmgqzdgbxHaTQO/KEbuWWvHJMoZblhayj
-dxso+kn06WGQRSQI1LpCv/DkzrrQNgRbl1TUNo73JFrts70ljMBkhfDgfHj+Y32H
-+l1dDoIpWN0yFuKxN5tF3cPX9qUPQg9xHj0y5rKw9Oo5oPtDSG0K1H4hkWoRolUW
-zT6GPAlX9pX/2vvDGpa75MxVwEChzgCq19hXVXu7GwJ/UVJ54r4tc2KlKrRehjox
-uleCGgTJyiVZRICbMBykzGV5Heq9aH4XQ2zusAFQlICJ1m1vnSGm21zEInxrGOZm
-ZFeQI2SIrjDcNfSf2m+ppRxjy4eQpUPZDnPKnIdMjGDV+gzXRGF1f1UV2DnAWRmY
-CqKzXJQRYdXquDHCYettwslsPg70HyWV0ebbPWvJKuWjD8JgtOgt5C92mdeEvIXA
-U+Db4o4u/U518N5ONLiIWnpt+3eAELvVKtqu9xvu+g7Pe0fvHfF5NFuvDbEFZtST
-fqkLzw1JvZVH2klAXwjQK6FeUXyoDZnHA0teRqTSChPvd/3oGBn+/D213AXMs6ev
-f1GkNFk9mZ0EX6FmVl0piBwhYBjp1gG9IVC202N+LS+JXSznk8ZLu3fxIerv5wbW
-9u+ddKYdFiJvhg5m0umTcB+HCHGB+772kXLDaxk8yA1aFRJom1heBv+knl7Wj+Df
-WLRBBntnleOiQTRedJ6NKVhktzx8S8bYaxM1k35lz6OOmu8HxuSsg4xI94xbS9I9
-drzIAa8rY1fxa5sa91pcB5fF4o/ngS6g+iwyFN2TePGXiSyR0OVpqoc+K7EjIBYx
-f0WC28Uq6/eYbBw/w+/qpEpQ+EfxpT8i/EqEKQauxFVgGO9+IXnTsflcDTIGqBNt
-glnges2iTgjaRgqZCQ/LWe5ozb/9QHFdnXx8O1vIYVgexn8dLjzYz85s7dycttBf
-0+5wYdsKsGIoILYBzxOg1Zu459LPamlPqmarZv50O7TPGSsixIwkLS3bnFkFkrY5
-lbr7qq9s93q2Tp1wcpIpYNMzLaSLXctodbMpAz2NPUVT+aSEcZil5FMvcPQOZpwg
-1Gtra2OJryfiU/vdU6m/rcJ5UCfk+JtaZ5tvQUjarwy2mclfucfheACwabwqU0yN
-bS/1YjwzTnWwVHYpdxBwY/wbilCymxJV5Xx2cFV69+90QVkjGBrRG71H4foZJ/Yt
-ZV40RWzJeDKsfhfeQzi+R2H+OU1xvqWDDjHHTvwclSdbeOUcX/tIJ+M+2y/bYMD9
-66U+oT46Xkh5T6vXP8/O9UPRBINZbyP2K4rChS2CMdOcQD+nx/L12UGS1KG/DyIy
-jc07Q/CHx8DA40a7VsKgYGTe40cNMIJsdcd9H9u2iTBANFnLSPEQXfT0t6YrMbrl
-Xl/61237qJtkPwbqvob1F9w4bUGrTq9Rexfs85hxBWAcnakSj6F7JvXCxuYqpXP1
-a8Uc08hmPCzvU9OTiQwWZqiIlteKLPbqoAKN1RKx6stIOtXg0GcYMlCytH2pe/qn
-xniLyhz6/NnyqLJHWXantGm8getVfW2zW893QIBWj4NovJdWQ/26Im8WMv+IS7ZH
-ra30XYxxg0ERQljh8Cv8TFiZKJEkMw/uK+cH5eb7PGP5Hq01mPKVjNK86+BDeCLA
-tqDPNTWF2RTjV+eQ+FmalUYxmTQHm7OHEE1PCmCCkY3+DbzKyjiAOjfrrSa/32I4
-Qd5Fr6pvhzorNefMjaH8E5nGc329Ndc4Cbc7knfn0QXYoau7S5ow8jte2mIkBV8O
-m1V99Nx+BF585ZDBnc6x+UfLMVo6aTGO/K3zL0nfjABEZYIg0FNBiaL6kzY6DpKU
-SAefde+4hbBVxHnve4h6/rN72zcjznjUIXNCBhQRwlzjND2P12Hpk+aegUEbsh1p
-W6JiDqLVcEFMlFAVAt3dieENmY9nHtaURrL435wWvWa1gB8mWeiZUSFOPGuH0rDy
-+fXUVMY3U12oAgCRJ2V1r6iNqZB00V5xpjAN+Aes5QoOD/l319eQ+5JrfKWX5tPA
-WzXAMV54XPmagEcSHJ6TdnIQXAQYrmQqVPzO8BiPg3cEQ5eLPZJpNWZ9WLd2YHxK
-YheObReO5E0L9dyfTk2+TMiuMFnCWi6CCjUxNXnFbEviisAV1TdsAUf7E3+cOESq
-+BNPrIz/KkJJvwm8VEMwR8oVbx1x/fSa88C54KMvRKZhWaKLwaeDgNGod8EhYBuY
-3+TcxlwAUTXv3T4JuPy0qXSnjqnHufEwZHUSO9ahU3bW9nJlIyVAsR+izxfeK92P
-cnGl+z6+srkroZJqqGNZ1w+13RqHxaZc7GG3mDBqU/LdN1m+n9GF0HB7OzNP1bF2
-eCI3dpPF/k+Lt390BXuH23TABjk4i2zPDnghFlxAnLfM3ItHR+ILaVhZUJ8XVvE5
-O+XxmSLNiR0rhN5yT7VQ3294Z5GKcNdq9m4KFq1LLibIuh/sSQ/y6gZ4L8Ucbu3/
-jaYNoYo/swFW8A5bZ/DgkLjhjeKicvreXZfLZOXM1p89x1N0wD8usUVCk6B3ryGy
-myT5UCsFuERonnsHphengJc388njMcl53YRV8Vl31S0rIvASr5jIzDCbFO45p3hj
-7fKgADbfpyFYs5omnDTY3Q1bk/tMZAtChSKnYpTBH0dBYT8o2p1tNAJeb7cD4eeU
-H1zwawS/WW6jsLEMJeQjWhomBhIC2PoUDJqnSx01OdMls9rESu5tCMTOjdSb+JxI
-k/2msvey0xo9FF/an+J+PA0BRk4mHJV3RRm/kFQDlvvDZQCKk6OY/i9RQl0Db5XR
-nYTNsLp3+pVYBMnbgZfLJ0ePaAchldfQPW6BMn20hpLnNAUnsUAlAm5RbUdAsefo
-mp2KiK4dqpxZKa3oGd47/LkcVG3RZ7AfXb65mwRwcl3fQtKxyChbqwTTRoztQCBD
-K/8O2taS48cdXfZcJXoJnwTXJRZUyZVTQRDlLwcCdSmLLbf/N4tQqF8r0oXSlRYy
-yJqJSuVawdS/pHdE/Vq5GCsAkbiHqZMUd82qPza1NhIWggUIlo/L91jVcm20NnBd
-UyVTOoC3XsUMel+N7G64pJ6pOOHLBsskuYblLWEL98uc4F1P6T89eVE3EXveG/QC
-DMFKGFmeW2p+MZezw72/t79YTEbNfycfgrQP04+IXjq5556s3tVnB779jeo7EqjE
-SokSVW402Xl0Hqy2n5KR1qe/ISF3AKOE/Y1T6gxCqN2te4wJbhc41Qlh79lGEuvs
-/276I/+GKESYuW258f+gAm2MAewP5xBMr5CHjSG+FD4aS7uMsoqtdRsgenQto35H
-OG4obUnLAzKhfRfBylaCcAA4I1mKSkEe+uHCGc7vK9ENO8aEUGbwR43/Cwr/KVFx
-35mSgw8tUSrlSKpzfBwPQ/8XKWjcNBxGarfpK7qTTFJ0IxAwMEXzRygcyL5mX4Mo
-xutatWXI+RE09WmZ1iiDyH+zDMLTWb43GxJ/36RvdWZ1rzHhdKie7OYnPBuWhk51
-fpQYIjOjkbuV0Zwj19F9nK0ya7ya5ygyzoC5Bm7PO+VGOFKWIUUiw1fsJ4Y5mOrl
-zr6BRI64JMUx+9tOyHw0JLlJVUFQlFuS6iZ1Pyp+MB1v2cU+36QjoIA9nCCG9Iw/
-g4QEO6FuTKryJ/NWvt6EosdMetPjDnEpUxiCO7H5lpDXd+IQYJ0LHpapf8tZpsAe
-odS9trA5qCrW3B2TPqec4xiG0LTJsiOXUG3xgCV7tHSWcO8nMWJ5jI0+DYDP90jB
-BvyaWwD7Nc3eaa0Lr1eg2S2YF5FP0ME2IUTZnxpu8IXYLcovRKupgWOytsK/PwjD
-r4TnxbNZlOT9UrVhlHKdNccySyshxUsruokHlRh/AsWZ0C0DtwDXaRneGN3XwgzE
-OyAKDsRm2pPxP6TKx+RfK+H157QywW0uDH6te3sL4zWj1h0r5LU6qLuq5FVDb6j/
-wAOnaMkU9doX6k00LAwcfGNgELtNT+UqK/5rpY/M48Tl5ZRIouOFUZB4g14Vxils
-Q8n9SH84cqOPQRelOiUEGFykWOpGlvt1Row6hsGz7FJ8Bn7lIa2D4jEorwl6EDvw
-i6D89XJjarO/qdEkqkLswXBgM+X4plogHLdaY7rCHk8jw4OcYY7Vhnfogq5f4Pa/
-XI2PV/vV+olng4DFCvr7haiWzr6GM2zpXqGpUVkiFmUs+T/mmBESz4mOz+QUZBxo
-m8kcstjRWVwwn4M7Apf6HWzmvHKVsyP9uDMXti2fEvQqJ+385VnEJM7PDOyJD8tE
-O5EOLIAgosGKcnKqI5G5lmgdrRhjpsWOcaBmdzB8WQ1gifu9ovHfccKXB5nkxIOX
-QBs5gr29R33taeX0EGTSlNDJMcxE8/Wh+au8HNix3ckl1NvTsFwkpEtSIg+5k9LP
-+luLoyNq/b+7LuPrjnebrFRAoAZYUrlh0QnJtDUfflmujBc5lWTy/Id9Up7qYAS6
-XaAXLRpjIIvWgrblEkaDFkbNtUEbgCosL3h2LlC3WGAn7ed91b0wqShl0WfePU0Q
-VpTAorqNRXjdC3kDQLlmLjEJQVEj0HSInB7ECeCy9X55WINDqm/RIFrAE+TC+EAv
-jCI1d6jVwjAwE/S36Az7EDs9n0QQmXY0syVcXJAsHUGqMFSjYXSLiaS0AhrYtb50
-N6tvRLanBXw2tF7JBVkg+M9M1HJzq+iFPyIOtOBJ0MGp+OoQgRyNH0qAUvmmJYMY
-TWp9TyYbpit7oDpiY212RNvcqKTI862iUXKhmruW3F2mDbZlWfNQog/9mUNHeGba
-Ck3D6/Zi+8emn+K6kNESdwFIl+PH6Tr2yImEnf+5nPIQJyVGEUtk5O7KUdmAiUop
-6EqRUgArTYmS9OdINOqzh6OYxrYWkZ6IybFvYwhg0wzkxShSjOjhhRd3ivpu0jwv
-cOdmbRXqpUxZmXjzYxTsbbnokqMPoUv80QM1FaIW/+IFLdtk/9Fo/Rhe90OCZKHP
-DWAEckGcJFe0TwcEx1ighbFmC0pkvrVtFvvnlVZMpi23PzlQhaLbbHDmlDY8EfEX
-r+C9DpzLokAorCKQXYLrMlv7JZqjnjhpS+lS4Kyve9QK8MaUdqMtA863w5cPOI9j
-ZdOZTEEns0nBi+mCtCgdz+FCRvbiMksOrJB6IV3EIMoBVnRhi/Cgii7tIsaURNb8
-GZsFXuKpfov/K3DwzEppu1qt0q6pKQgpdP1uNqIm4l21NtaooqY2tqP9xEm8Cl6Z
-TrrAJllJrDjQNfA+YI+TKkUJj1fk6EXsQWeAH5jmN9u6md0X7nExkyAMNpRZLrzf
-3/4hkuirG83fDlNz0YBS+brDJ6tEtxnIGLyA+zElz1ohAcEdIfpPb7uaq47RoyVj
-A3ZGVlguQ2Oo30GA16j755pw3OvWgimK/vhb8sbcYaHIMVaXC5vvPTSXCc38H4uj
-cTXp687iClbR8iSTLhOaNGqeWiSp8gr4i0cBz+7DFI/p8C6jvYnH3MEkUDbUwNQj
-rLCYOfMfgwcaH24mgLRclbDKstvh88R59tV1QLgN/SNZps931/xeoj84uyEchXUS
-5oG3KerKUoLUvEIWwlxn6VC17176u0dVoRWbHk9WyyXBedY/w5dAXMYzbQ59+GYF
-hV95sh6pJ6J9UCtg2CgSKsQvkgc8G543hDjEvcaQtlW5W8qdXSuX5RabNZKkbnzS
-XFVk2qup9ett7Br5vgw//qbuY3QFvi1zBOCb0XfMx8z8XcYp2uqcCwCMTgvNmcEm
-m87ehpHqX9VlR4PW2hJ+xft/03pqDSsoft7HF5g5Jihq31ak33F4EKoD1TPV6Xb8
-DgSGH8VeemiuFn3wgrFFiuG2HLbmUdlSa1cVT05dlxu7WGw9T/DoGS7uzj7ebTv7
-203S69F6ulS8pOiEOTWdG6vX7V2Su2VMWivWl24qKwejb7bBVfu4YALHyH2wo/rd
-iueeh2rc+BAIdgqvqYGl4W3zoyFu/fICPv0x6PFoJRDT+wKYWJw6W4WwlDeyG4WA
-xIllcuvgDyFO1/u6oj4hjaK5RzzucqggJp/OoJcxqaGI8TD2RrVDOP02T2xTVaHZ
-crdRYvIC1YvkukjPCHE8mE+oRkhP/Cda1CGy0T6L0S75n3I9Bea6IxamJMnTfBiA
-go5C3bjRSapr8DObi4O2KfYZ73MP+JyXQNfj4U7yqQHyLdqXA7P+bcGWntj9k2zr
-PUX3bKATzx28YshAc+zyqXg/yCpQjfTjDrT5Avd76lm5R2TqP8mlc0F3a+KlLIUw
-bLcguIZUpWtPtD247yxKsfPTo3RhpaM935HEDj/+S7oFVbsEm/UnTye4V96KCcv0
-2FFHoiMw3Brfxoug+kNwN4kKhUaXcTcCffFvsnTIviiZjzliYNyGIanjwh+n7357
-KJZoUYKfgtgvPrJ2poHHxEO69hg0T9VPhFXzD14PTrXAGmkBrNBprgMrqHtRIRXr
-5fGfi1ew5pg3OHpsxAg2At+egVHnPde4KkmK4g+0mmxKkX/wBdkvc9DDTnBJ1n1z
-COF5BI+xuq8WVNrEUFvPFWLaLP69rBth/jblWjBbeWcAk/iWJHGBp9m8yvG13b3v
-Xfx8yGcaMp0OJvUjPyP3n+SNDhwS4scGtGRKaO5blOfrL4pqEi26pFWRx5Q41wly
-rK1xClYvNCtYnBUpwpZJcR7PW3RjNVV4hbnGaagQbePK7PEDDdr4HCRXF/50wi0v
-tfh3BMcmfniMFIr80KtVC5vSd+gsK5A1iueNTp6bj2LvvzK5kEKcP9oaJt29jJfU
-l4mheO7kd4Gg21XEGyZvANtjcRldIZL/k+fx/p9I1NlzJco6G+YDZa9cJJahi+BD
-LdqhxhtmOq6djuy/OLNz7OaU4IwfKsA5IfPZwrY8KTlM/RAwwn7fqDzZvwmHl9zg
-uccq4gCLj1Jjn+T6ZxyjP0tNj/VdC3fbiWnTNQrn30X0W37HILX3brMrmqckteTf
-DgwPNMjY4ekDdCsssKeNfSZZ3bH0R9ouOz78ps7VoCmHBdbsjyDCTtlXbESUfTrh
-7RcIPvHhP7TTcgWwMNCK0SXzWXdoK51csIeAVaDjDMWSwCv4YuKR1kF9yyzqh1mc
-qmaIah3bJCEubBJj6Ao2VKM+bANVgL9hmcbgi6xdnsKWS96A6JoKjc51a1BWXzvb
-hu4Fr8fzaIR5cGfeJp2iprMnCE51bYjxpY0IZOPFDcd0LrA8gWTXGa+MfrPNQrq1
-V9oo7VtLOBUtojbCFrhxpYqAUup1D0rRFKHsidA58zjdAOcZ6fXGdNAGHf0NvxdJ
-JoFghugc4YuyrJ2yHhpxJrAIc3mUrhv9N7Uy6EwTStH2ONBpAfMZYZsL0TCFjwdm
-4GeSTm2QBHjgoLKQ7VtczYLyWKvmHDEvxuG7LLN3B8kykbA+xChn/cjcpVLcv0BS
-qEOKtvO04kqBnY+gWbsKEY1ZIXQrdu/0kbz8kTdFDzmolv5oyQhQxYZarw9CxRr3
-LVPHliAByEcDNgqUpbDgbi0pFyMzJXkUFB6dynwCrdgrP6MK0yQ4KS6EH3OhgLpT
-p4Q5VEo6nqBLaivMeNXwXa05yX35e2m5lOUS8GkcbaBN/deQ3FjEO2GV/ABAajE6
-RBRDJH09lu4mgB+msq4H9ZwXmvQFK2ydV5maNTIReZdsEOmHNOIpqVE1KKrzLwqH
-ICXtUAXt+KeHO/ZlGmv38Mk1g4TvfQrlt3yF7af6vk3UJttGNg3tWOhX5pvMSOF/
-a0mFYmhhvh4CnE5D4mlLoSFlRr2yIaZLAEiMrv+/WXsPD7q49B4yLqB9rPR7TBmT
-7syygwdBLhq9b/LrIOQodCKVW325fk947/83lyNCEj61a/ep4pZ5lo74zWa3Bxr6
-8U2GTC6YsIUoazN3EP8iAKjfY/MOxyoaSmJm/CUB2naUeMo8MD59PNdAuQVDmwva
-7gIeokMpgGVSkikQxMFTTilMsKtWyC56n7sV6wtSCSxL/eUwBxqai5ZDf7BXj9B9
-FP5FiirYyx6jri9qMpr+BMAOwNTvTKuNIssR/huAAtnAEVOpSichnT7v0hNqQRYR
-KEw26fC55AjeJ/tS0nj/JIr/3o2Vd7lSMSW7DER7OGcoBXBxNQ+//irmAO5K0HIx
-EU18AhTPLSPmuHJErcLh3lnhv7Blim0rzUEA1pyfJPG/aY2pQVsFh5aGmSI7Ekoa
-/e0Vx7EEia6p73e9g2oYR6htUGJewfIDPa3eHiFsjI1UHUNP9P5e/i4knjvUY1Wt
-zGdhcO2tRnPQbb79eY/2ac0KRaa1dHTKKthrdc5NEoKaqzi/ubP/hdCUIiBqQ8fl
-KRuacXyJume+wJdlN532IPxyRThxk31Sdx/afNE3l2PLaDBhGrclsPGgXE1c23tJ
-pujfg5q0XMJomeXVnrjS+UZHRTqJeDVKL3ZIPCO6DGnURBpmYqIyONmE3fJYnm1P
-fP+KMz5OsCCXJN0uKts2rvkI4OyW1I0mwto3ogvaSjlY5QIvUmy1upmdubLOTEHa
-T7kcTX/F9QgBfGTiF6gr+GLv8elWIU/PVeXe4VwdHugAPfABrlT7ZPBbmVP6ks0V
-oajyVNo22+5rEk8YMrpWY0SpygYk8N5/Rd8Biy3MZqMN/HhRAmHdl56K8YveuaH3
-S3uZSK1ShwyFunMIlDS7T2cAqC8YHzORw+c0D9ii0ehnCkoLl6ygou32bKKNgMuQ
-dWGhwFKfbGuf1KOcRTbBM+x8FOOm7emRqlEFk61UTSMRXgAZo/xvR5KaPr1l+PWr
-fshR46WYK3uGv0p6XnPhP8jwu6eTedOP0Z6y/bsN9oVRjwgmqBZwpGVjMECECBFb
-ZfXQLIoiyOfJdd2kGA3Per1KN1VoEtU7wGYgGr/dALFmMZrTZN9f8LNefH/3Xr/e
-7m4b3nnU9dFxaGTC+Fmf/YDpdP79uPWmKefrdlZt0cD1mf+JgMNqCDQkHAGRHtoK
-6EmibyZ+7Ai57C2j+7uKVMtv/ZGfSvTJrYxdgli1jIhwzrOQvKhEqcSENsztCDos
-/aYb+zFiWjQSRxmnHJgzspaCHGuNaLv9WCJivo/5mKtDVUMI21XHYDcvQnLyrc2V
-OHNLQH6ik5+QmUa/fKCnf1AjmvlH1cHLTUGAme1nHyunCVJh10CQLzsLZPjbVm2z
-YqEWtO+WSoBSPGxtIQD/qwo0o8Xa4jOPZkOWzi/sp/xMaIraZdvhBtmOQWo5Q+8W
-4omIBMVWIlZrH97ot49OXfhDVUAs/g328t0a3nzGF+SQ/4I7LAC7i4qigkVSL0oX
-t4OzT+nOUo0CLGLhXjHuNCybp22blRJ2GUGDpaxXRKdOqC49EjB2fJx7JjEParQr
-4Kwyk5onPBckp2CNt5CVexb7NIVPD8IhFGDrnbdUWcvVC1KPOWPuD1I285EEiFJK
-K+ARXg2AdAJNKHz651itlIc4+HieFNF8HkcDnVsRxLe1w3f6/wJX4nCAQv3B1cT4
-66bVtVctw98M5oI5NVvgwo6nF/esGf2Ip2ERSBySt/S1h94km8pbYhDA/JgHXUT+
-ywz8tfcVc9xof3jJtXPO8kr6yqg9iCYwqGog07Y7eyaMmCtzCRoKfLUyh90Gxjmb
-OlMpmb4l8K+4orvz0TcR9/Eh/R2odooFFANCHSIrdC52jNJxmSxmTprienunJ8g6
-mlVs8Z6naZA8UVhXK+5RxXQbnJgZE8v4YqQflBp+f54RprKC45YwDMob102MCJhO
-qovSHheC3Jg6tEI71/jLp/bXS5U0db4cYNUU48W6JU1qZMNwAIsAUDKbw4+S5ABp
-dECUuGnHuDxiPb+TzIl46EDLyNz5l8BHON/r2jIICqttKr1B+cCkfKaHnPL9nMyB
-S00o5J7f/45BHBvX9XjVVJf1sHgcGiNpyz78S57LnctdclyYjooRly8f8yny3mrN
-KYPIno9G0hIujPVe1m2ZcZQyRLszPPhOt4vIaJgRXRbY4E1rf+sN10mFdxNt30nI
-v0kcNPKYSRrKc3118ZAlm4jfanwmsXQ4S4uPIbdGQRA5lfB8PXpHOeZPtXrODNeL
-6MNvkEJSMmALOVJBzLLUsjGU1CGvZvKhB9fISJvY0zGVx80qskoH/jmqCSnnZ4w8
-EVxqLFC6bP62+XMOtULeGnCLeann9RtCGT3afCIZCpSQNXAa8ssmiP/JoUqt99K/
-faouYS2i3YMvY+ql6pIwHAUaRE6SS9xCafRByYYSaNhGwSLLfK72rHli+RtYCiuS
-1Jn2JOp8rEBOl0TybWfpE5ZK1BcNwQTIem3G71XEFiRC0Ulbyxq3wTXOL4DMj2+G
-7gQmSzOrGj6+Pgf3HocGrYZqv02SNFCkdDdYvKTZHYkt39C/9c8jicFzoLxHqKk2
-20cxtmJRZMl52qx2yw4eA85qKiZkAriknrxJx2BdYsk+K/I890PU8Ntyyikl6SJ9
-9sjGwj6TuJwX0QtyP3tQbZuSzGRmFgqTHU93YpRC9kNNBUBmJfrxVPE7bD0MgdMI
-NKNZdUOmBVlmxpM1YaMam4lc7T0BNxfcW1rIViDyyIOQSBUrKlac4cyVT/3zc0VP
-24Fwv5ZGjR7c32QwavwaxlcgA54U+Xnj0/jO3xJ7YfNCSipJl2YyOuxx1Fvez5uY
-NsZqnBE10HBONKiqzkrmnVqTP1Cjy2/L4/uljy8thILNGUmJcowHL9MM3J4neeuG
-++1+i74P04EFoRdFKgH6kLm8kckVHRmJmiLv5xz2WJR/CwAKWCuHWKtPR9a0xBir
-V7s2VPyhiadVCXuzC/vSey/Eti/EO2hpoDVWD6Y2z6os4XPSheVZr859RWwl/Yy8
-V5tcyH/5H+k2ki28IQpT20G1RfFUgA5LJwDG/KgVbNFIhvSfqm0FmDNrX8/oosHi
-T1h1TFmQrvTmt/Ua6Ktq0GrBuKAYPQxjbgvZaPJXHMI3tu+mEMXSh6G8ndBnic5A
-MjPqTTwB6akGpR52NM+bjZO0enTJSuXFlaWjGhE2dX98n/1avU6cpo8Ch7QX0PGA
-irSv5hdXPGC0wJRB6UjGmmxDDCXZWe96lmOhGBaXRkrOddpC8vlB9Ka4DcZIRKSF
-8ryc3D9TAT0BsKfxbgNQyjyN/RB6VD9N19BFfqUEYXRJ0XmwIaSXkmWTb4NwF6LS
-9PnPLB+ThWkg3/TvbiLclkRwX6+Miarg2//E9ema+waOHbH4tCMMnO4n0D5y5RTq
-3y0P64iLdHOhmdtfSUIAgIRtEYB2y8Zk11qRCwa9e4+6LlPp2+mbTT1WE+zSP49h
-+nZs+4nyFkM7fH7/tA7sp/x21tCkb53cTyoZpGnZZB8CyAIYeIk/+LaG7Ynx8x5j
-l88D7moA4DiKx4ze7cJZaIRi9/QW3bCRqSSAXPFeBis21Zh5oiJQeQk7/cC5unG7
-J26tL1S6k+bnsRGRspOkmZw36eNxVZmyVEAxOmyXVzd4mG7HHDwbKwgWgFAuEs0t
-covvTxNfn4MhkVwMoT7OmdOgU1vJnZQ9QE9UH2rE6aTkAlWjg1QS/L19PMqOPSh9
-TGqMDIG8pISi9XABRnGkF+ZZru7t2cQH8FNGfCisPn+O19w2S+C2oKus6oA658rN
-RntrLKLZgnUS1dVysrOsE8Jyf1BPR2dCtQhEnf4YwpbE6EVWF+xEgbGdQ8kbhsp3
-6ENMGMq1n8T+6xKsniJHwbKKszOX4awNjSkqvQjfuVmuCZZ3ERaqZMFqXxbLgke7
-Zu1AZVKibnOTX5saGxbdo84KAmT6P4scRKLXixnGK+a5HMY0UhuL2HdwoGQQN426
-UWYx4yqg8C5pQmJZNVnOAlcRH3Ri8f3XmhfynrJZEL8mvc3GzU+dNK0DKaNpJHY5
-Ug9YogazpuD9kAZIGpsMyXP/uYDfZH1xA9rcoe07obemg+kZ+DgLGMBm6GzXeasK
-RTDsMOpuqpXtO5tNnAR/KyhH8d5KuNmLKHy5aHNrliRFPDvJRQjdhkN/+g7Tk2Us
-n9oTq/FkirCsfyHLW+losa3hn6eKTzWrhY349zIS1xwPL22FyrkrD0ZlzWCOggqW
-WhJYP5iPOLYGzufoxsnVcT4EWf3SjGGlNvwT5CkjVPposCzvwRXrLdqZAow/ZnOt
-RKMcEyRiRiLsJCcCpE1VG0nw9S79DVtXgttHyQvjMHSxMfAoumrfQfGw0ROLx2XK
-cBDAg11SJHtF21VB/2Sg6dInBfyITdjuxs7bGraHLlvn3iRw4meWF7A68yZ6z7+9
-rcMWXj01MZDIshae3orQ8S6UnwJnLb1G43HrrOuPTU1SUlsojrkUCQjaevihmrle
-8EvdCBzI28Ev//RcLqwaVH4kxpvvFXXy5cs2TbAgiyY+1VkyZsVZptNejvYt7jyi
-w3efsNfAskbKUdRl59wiM67ZJyqOBLRD2JF3wL6QWZVVLc3k28gZ0ICDHzJ6xxLN
-emGDmRZTOTvA9XXzMKG8Eq8VvJs4vZrclbI8vInVHfMwq9pXTAK6gRgyvJXRJxGC
-meSU1G4yv4NUeHwQelnD541vU+eXH4UjCc6J7Yj1FK2RztAfra2fvTxstoleGctd
-VtpkzjBnkFREKff+rYE2WPBTF0PxM/N0rFFQ9LvaSJWyFVn8XM+sAQs2Ve66JDq2
-3XKyj4n9cHaWVCeF2Y2FTSuycDYtrapXDCAoOsMqDs2PI1xn9/tMc6VwDiXPaz6F
-RC/mSOHicJ9Jv/ssGEZ0m3Pg0gAVT9AfiWauYXZySWotl8SnGNaOemCE7/KEDu4K
-7IfAREOGoZzNUywGSvOLwccCwD50gSOL3J8QNwFP2T7QQu91uChLIk5X3DhMx0Ts
-AZmumU2QeOFurNzhF8zsKcf1DkPUOGfp9PGfuXST8tRK8kaauBCUZ2UAX0xIbydz
-VB3KsFIWWSwgCrd1ERXHf7028aIm7YtRtvevkjT3Jpe43W+xw6KGSzb3y4l5tkxo
-qk/TKoczTCuyNXbUrjHVe69vPXIsBWCIY3xjkCP6i3saY2kyZdiVmrUpzJ92O1t0
-026GuaOkSD5UvgWRj2tDn6PzHhpEdGoOH5ErhZI/t4ZgyIVN+v8S8emNz/BYjTv8
-AqbU5HZPZCR2x53fo8yJbxVeLvqyCYVH2DjIKHOD+65KYfqHySfkEf2rgl7Ry94g
-rgOEk3SK1xkOuhekJcZf6591S3dW51tiVmzh3JZTtUnhvm68OHWtnSLQmuP9KKq6
-XjJDB7X6lzi7purlhZUVkfemF/WA/noqavgB+DmIU3zcyT9QleKsR4dS3UIgjRaQ
-f8uuHK8Fl37uFxSAoPLwxsBZIrEhRJRLXCh0dPfkgrOiMIe/up0vofF+8wPceNgM
-+mivMJyc8U+9FHwM5hhDkZcLxx4U22p7TaZRnwR/gCVVKYIrhw+j8uhV+9EGeDWe
-hibce3C/GQJiHmQAyKWU2gjndEGGfN1F7yED3I2tzIIwv5H8qWpXeakgkB1FWg//
-8+lk+ZbO9dsuf8Accg1raM3HSyq6/XDxmf2DlkB7L2Eh5/TIdBF8Po62Ni/pQsSQ
-LBfMwgWiHCt96wNAwGvYUuK0RuVROqdicU1bR4oTsjBzXxsn8SpDjI2HWWotwQqc
-UqRtgJtnP6gDkWgRNrl42GtPVxk7A1clN6QRdABQ9Z0tyl+FluJxnqhdu0MCNwod
-YCllN8CS65QvVvKDqyLg9N5L8ysj6pJoX/8GOfLO/W7kOYV05InFbR9bq8nkR9nk
-dMOXO4ea91SF3GkvATSCWWmLHThZAGDNDPpLXWXqaWLW2qD/KHVy7nfe4yKugaGM
-3Qpo77d5RZTRWnT6bkDRSKheDWt+870MYBwUdVNUOX30rrtJseT2WFY6IkoqpK2O
-5eInfZDHSM3pRFTn1dGxQ7UOvphL5S4muIiILv1Bm6ep2q1pmsHs243y6suc6dJO
-t+ocdwdjtqdyTG0qQQTrSx7zjkOzaLC4N5gT/0uLve3Zpj7+QHTFC6ZN0FBAsQ8F
-ztqPdHDZL09xQ25cehwWWcRrE51TluuBURNlpO/B8J+SUcAAcbO8RjbAg057OQ5b
-r0jC61049NzdtcoJeS3Q2DCQKK1ys4GC9al1Nf0bDC5QtE8fTyOHi7T38pRpgs1c
-VJZQnGwvYEoz3lZPQo5MqdRje6I2tmswEJl251i6S7gdHcaZLffgjROUY0Nvnxt8
-ruMx+oHbRwLXa7VNHOvRYYlt87bvuFtJwhNsoPSB2bMMmTiEtQtnkS2Fz5sXE9Pz
-YLASs/8Pc1bUfSP59dLSZYkCJ0LZ5SA6SHU/2aY/sH9uSgzAIh558W6Bi1IMQfwc
-zRxtZ4EVkrg5usR0WILFiPC7LVT3bQSJkH3x/AOzYqVGypF8WZl7IE3wi5n2erz8
-XOaM0v1rBFLBuGJAQuKDPh1Ak4fA2C8yPPSKJOTyCrMYhQybBBd2ZA0m04+tpJYh
-KcJJQrlZHHwZjmm03IVmPlfa5QlnlrklyvPvsLJN50T1nydRbGLeux2n5VnDDm5u
-QiSiVMkxSJSuhaciDJ86Ckqg+Wd9O5CE7Iq53wDzqeFexkMVg6LNhzfwK8KAqAhI
-kVHMjvvfS+JDoXPk+c5cOIBkGQM0zo04D5M+Gh+yTK8Oo97jzEGdTGBUBNDHpbGr
-gfO0JxBRSRGxGN7GHSJx8aJSsyqSqoLbJfGQoXBsvWDYuKITj6JtTmsjt5ua2wmv
-Ek4DAO6jJA+cPdbPS45l3VQL4+pKHLGqRe8F0PSRDrgNsNGQkk3xzxvWQgC5rN5F
-sPsZmTZ2C1IMT/UvxW16X7NHNDa4ofdMuZJBYTZPOQqD0wU+wY8QYqk5nQtxYJhQ
-bLo7YtpSIxETxQ4tG8zifusTiEecN0EHxiVw0Ct4ad6Uapyu3kFTLy68uQSmkBPr
-6H//EiSMKi1ifTEAtjWYKIyPhp22PaZppgu4uDx2nLRuTpZdjGX3oKW1g49N2MBR
-GrYGKLbWqIA4EjFUeIGc3zDDnbJIiPVa25qLQTZ2myAp8MtAQy9prATcvA/WcXvR
-Ok7JTn/3jEqM3GG92ula8ILq15Q0+tw9TvArSsrciMLB6cRtrf+KfT68Wzu2dAB3
-0EFrOLs7B97Kg5/7QEgAIIWZSv57Ck4rHllL9MJD3pVn7bsvi+e7S8yBJ05IiLPS
-LjUtoqzav8vDfg9lmsP0hUTA4E1w+uSR9I1l2646HP6SMcu+VUZSs/BDiH+rR0xD
-9QURcfMHk4VWbQvZeqL44xOBV5BosjrlPLG3LH8wINgksrMlYm1E1LIORcD4DX8q
-4ge44VcB5mE+b7AJzwC2Ydt0QNeEMkv4Wo3CIL+jPCA6VK7xwnt7ZCqgehFVHH0a
-dEJvrXs41cP2ZZ4IM3UOQRZCAoLM4eF0d+yzSYSG8DISdSqOHbN6OvZQg3vPiihW
-JsH0IGHgZ4wzhCwj1ULmCWoAa+ssonq8mpH/3WrvQMF3ywU6xLDJ1wsHPgQ/hAtt
-8OZ0GiNe0DnsGx8/4ypY8c18PbdLVKH0xv5s2fNLbJ7VblC+lvoi7l/v9Y6Rrr6B
-mdz4RhQzKaZBPEPvy5V5DVcMfMG8EBaUPnJJpdAiDhLMic1g9hLMekSYAt6VJ+x1
-cwPmp3ihfyfjSya+5l8hPPf9i2VbAIEN7jUwx23adh2Wruj1zF9o6eTbmy9YvjLd
-xuZaUSz7J7o7vZuZGHnegfaz749uoYorSG2z5HhfUCldTgC4RtHtSbPuEQPhDTPy
-CPPTUtFansFG59mcrwmc94VmxrrWCcgEDc5S8tN929OnNEUYoKikJZmKB91vB4XL
-ZQ6k1MRR+78yOnA+IyOaBk2reNpPEpqskBuO1XwZHFoINRFxIipWYRYadtKG8pve
-06wC7rlx7DAv5LySebUZMvoIR5zuK5AbUDuOk/GwwUt3k5Wqr9WkiuQKp5EikFyT
-fQySV2brsXXf8lCgkeOGgeJEtipFV4GeEO5GAmR8El70bWkh7ZB/EDNXQvlXlQ3o
-QrnbQ4nku15+2vWsYy2EAqL2NO4OcxI87Wnax7x8ZDu4k9HKpKydlieDim/hzup/
-24oHSUaLPx8P/NNsAJ0sAbCVuBWs0EBBqGgMYlZsf6BxgkCtlejH37qtkq7NY7rG
-0lkyihNRnlSCYhnCQ5FkijOiwm80xOJj9T1Na+Xsg6pvx+K9vbH34VmTQ6lAKzPs
-v4kvV5FuxFfRyPTopfnzqhZjQEof3dmWO+fl1hgEwX0HGVmsnpFyp/KA1YCG4GIs
-Zmgosq+AuKQdtLMbTemqntomtrSdNCyCQKS4JmqWc2kBRlG9IjFOr+vQppPKPymh
-HTYQNg99u2FnYCP4ar9lCAVPXCl/H8GA3CAunweSNEIC4I5S2S4NTTNTRge32gvm
-T/t0Fr63hZUmk76J2HKP0zxuHAgdt1qdVFVf2Og/licMi7MEbTSzY83pyd6Rmhz0
-P5hqeIuaq1BKX8ymg1Zi1XWErHlbNvUlUl0d6yKBXmrdMPJDWrtZQ3kk9bGZLmSl
-DSVtMCRTi0KMhrkclCf/88K06y3Qa7Tij9wKicTEMSz1eWONSNnV12S89xt+OWUu
-nkxhY86qyd+U1QM+e2wxP/XxK6h+tDf6yY2+jB6y64UJQypOj+WLxUJoVrqr6gen
-AnUqMJcxlA/aBGlhIF9nf7TpYva8yXOkaGLaCb4zAsGD8Wz9lHAY6vnyBDjtGzMM
-waawNU2yOiSbHSmN0mDUL0XoN0zELjZhvgJDJA61wMkvYWw+gfr0MRcZSZeh0Ne7
-an0ishq3st0mmtCW+6UfNzFS3eIKCf9PQ0VFk8G52ySn0qn4HYmlE08YoL6pov/G
-L8Vl+9ZFVV/0c4mD8ve8wRrWpJvDFa5vge8YLmSP/FVvHZAuT/rx35HouXC/CM9V
-U/I/pIZ4ea9Fnm4Xqdtbis164ZMgJoBlSj/9WmhElpH17o7fbivs03JGCjmz+7mH
-ImFnjEWY5bGup92zq0gajadHciybQMKbvDRJNmRVHsf9+wJuTbDe0AmX2SbRsvHj
-teMEVaKVHgJAjaEvZ9fGUBcWmfH0Y3mVJnsmWerUvxUtEmXahQ6tUlOjZwIUyS+i
-70zCeEBfxV+CqhZ+qeo+dm2Z2WlzENN2xsQn7K5oboMQTAd89r0L+hs/MWYv4psw
-mXfu2Hla7kOF5LeZCFH+vqFLJg1O76vRHoXQ3mFLtuR3QKvW8+zZYRxo0LG53w6j
-oaSWK6cRnRV9kZEWbw7Xkoo2TtUfdSPm6zZ1VkF2psXiLFvnCXDdYGyvnZePTHD7
-3nasU4C2LRNZjLfSD4D1HPMQDPb2dlnHKwny8xPMMlJ7Hp5tRp/KApaqoGzgrnxX
-GIslDzoCnp893gs2ZRDLyYpDnCtJ6rW8XhogJXyz9Vm13iWFkKnN0c+sxVEzibJB
-yQ88QQfzW73aHC8TqJvX2+0FhVvr2BiOuObrcOxl/9813mkL+3N9oGdFcevPydbG
-grycwBxEvoiQFzxZS7Qz1M/Jd4gaMiAR6/RaLJyZaHt0BYhosCEI0JJGck8iQ5xD
-sHXmwcHNOZQMk6OAXIrZKp4fs30ttGJ58bmyeWoWGgYvpJiGgV8Wm/JNPuWA+4DR
-GIgac4TOh52MJCJYSMIH4SRg4CNu0vbODSl7eoZgNr/JlQcUCPRpDXPITyG9uA0g
-KzjbXreGkgMMedIvGCFVEKB5sbol/YNUOg/a3yi0aXCSp35JAIkhdX12ZWJndwo9
-SpcG9Jhrz8aJO46cmKz2nuhm59220pi9S6XDqbzd9dVGAUEh3Cq0Es9x4B0p8ZiF
-AOA2WrsL2tkpA/5mS/eFARdkwIPnqBolJWcGQg3pdgTWoyvLuZnh4Apw1z8HV1bX
-6a0uSg47C4Ca51N491J4xBIqV1+74ULyFrEtUOhpn1PBi//pJbRr13Oa/2BCycsS
-SxwE0on5/Uu2aeZOsedxORT6m46Fmo3QAuEQW9d/AS1EoF52zHmw2PErwOJI8/If
-k/pYU7ATmI3PZLiDj3w+LlRtbRPCGUQIHOU5KuM95IxAFcBJi/9SUzc3wLqKDgGc
-ynvvjzo9maYmxWCeqVBybekCeYH9LOD0N+i//7odT9jMKQm2/XfcCJgAvb3qByn/
-WE+r7rT46OOuPVzotpskaXhfz30PW6NNkGuUnKC21svAXCiXhj0S9E+kiU/hM8fC
-CZNyk0zerjI+k1ygJ3A5EPQxkclBenpl9bnLDjrFrb9DPQdi9fBEbSL9jXDKnLBP
-3TkXyFHUAkZgnUEpFzV7qcGYSLLOZBIchCtsi83hEwb0zG23Q/Sxdz+ivjuh+iCM
-Rvef5RDCt+KItQh6i67Va11DJvMMYfSrHpLUsmwbG2lPn3At6qMPhLhWnaF/AGkE
-sYQLOhoDG49wZePdmlnzFvQOODcmJPav1enXqfygbaeY2FW/giLNlPdNp1j26G6D
-Cn26+RodX3kEXwwgCroc0IF/b93uGH1KRDStr3aqVGRbHP1sk5+MUIpBEOqBvies
-/Kx3SfuetoT0YjgvPQdVd+B6W/2TQTaE8Pjr+RWDKvU0vXPyYPhTH3RN2BV2ChFX
-uqi9gf8nBZ/iNcVNo4n/Xt297EYXnFfhqqnuN4Nbw9NZlpPLI9TpKsc2ckG6J7Iy
-xJNZ1TAblQkmAhtPcVdfmH3cUKi2vOo9MP4557PD7k9n3hYumztEh+1PRN/xcyoy
-LcG7OU9+XSRN0yDSEf43/HChrbBQdUooBD61JgN6Ry0/vz1+MYWaLlgjPIbnJThL
-15agnhlGERvb7acpBUopERElu2A+9hiuAA56KnjqtobrzEtKhqUrrzoqPkeeNxyf
-OpKJ6iSzKGmk9COv+vED/5J3hIBEFS67K4a+3ozFZieN1+KkHND98P02U2MoD4ky
-ofXb/GTTNXxSB78ZN7nxvpF5Y0nHbB2U0ZQoaC9SkLkN4p+NwF/wiTCJ2gMsD4gT
-XeeacyvP9H1G6kPKDzXxu+lgFFAJWthfYgyv/Km3UFm4IyY7VkLMdVBlXF2yYRe7
-vlkjGGCrNRh4eAJQzwi+zNnmyFiMuASsuN7LZEbogfhmYtACTnyhff2jJgNqJ/qV
-R8WcoOcqhUxq5BWiF032A5UXwZSHnkyj5d/MK+xQKH8qURgqyskZFiNe6kmLwQm9
-21UuZXb8UQm1dk1JZMxPxUTW/yL9P8G+UM6FR7vXjvlst1cMvHattXUXkgsWC74j
-j/fi5YDA+8uiRUvVj2XLYLoR9jWu0il73qAL/B0Xu0ZEtaCcMUJRVR7qCtbE3A2+
-I+JT28Yku7Nxu0DLIeHUcmTBE3ERZvCc56DroXf6wqaPVv3s0BzyKhI94DJvckza
-fpnZAhLyJ5fzevUqsOi+U03Xt3B383iff2eV2g+/9CdD4BY2hru5yea8Xurpky7r
-kHEgRGW2eeJAvEIzPM/jCukE61SFvCNUH7Jw+tGQCDeafeBjXN/zO/j0jUMGvWFg
-lKnkpOcou/N5KijiKQiskKaT+Lhs4FVVzdrw7BUqGmKi5j0wZvw4TqOO1891K6fB
-RjxXuF/p9FmIUO1akwOjA4qDj4Ulqqictw2Xpg3aUkeIMmI1l1Sy6ah20pvTy8uB
-EUed6Vy0AF2ZLlVG3tgI3hwXu/kvBDJKpdEwAK42TpMBbWPOsMUzTIXHDGNp8x2L
-cz0QCDl4jwbxFP9Khot8QvmOA8D2iAAv8/wyaYH1U9f/ex7T/tj5PyS/N4Bau49h
-wwkyWt1NHoXAgNSKc5C4WxgHbQRKJ+fyN/Nwv6gT8GTwAOgMpznLdzLRtRgwX1jn
-KroA+10QK6vHdKxVEuFoKU5am0ep+UJ2ZRgX5v1VSmDGo6LdrFZTYBb2nQg3wPlv
-rGPng89PfUjPuweAbf1VpPjDlILcb8R8iLaqZ3e5LL2dBoDVvqRQ4YH3V55vj4P+
-iwVwh/uducsBt7LTp2IMwY/Rq23ovlgYFEyFfgaaEgNVKGAha6AwbJPQ6wQbCa//
-PhFhtXFsrGJ3JlLRX0ciKbyTLkyWCfEujDZT6QbVjPDzZR7/eg6hbz3M90vQYclM
-WDkRdp/veRvAhiRd3geRS2w802ZE04Jps8GALGdVfjOhD//KqxDnr/ZDaeJ5k13R
-J4xAFSBJwi6g6Pu5c+MAoFL5jXObYUYNu/NL4fHRZ8QLItkVOjXa1ON5/SNoVmDS
-+OmRKzGDJWRffs3bqrEX6LA01z7YLQQMWvsesMM4TcPr50c2uQRTadLIr0NIaZMh
-OU6OpmFICJL6sisTXicxydZAjANRz0JNUAjhB5Ure3xqOwdL0eRhLcpPLfor/dTN
-+1RxgVycHhk3YJmf3+MHYCSSO/qvIcMeqSk0Pj3g6keEH13Z3WfUdgL2f1klEXDS
-irTMn/xV1EpUVr1Uyi15lT+6BSZjvGhOdDlpMNUeiPTw0lm8+iplIDqb37FsOiXK
-tumF7oWHkOZgYNCvv8pz1OALj9poPldBm7C1PtTgyvgKTQGebTES8HsbjNIqJ2AI
-NG5HMCymzIScq7bJqruVxR9RRBkoNHDfD6UnK1NXmkoN6iEsyOKMjfPdMWYh42j2
-SOqrBshwVrCaX8wHshTUW7oKVF9pOYU3j/6moVKC9bVzLKtSzOqSPgQ2RkUT+U2+
-oxlV3Z8FJQABoeUisF330TnYKArbZ5edUfTdWztuJ156LLLnxfPBuVUM+UogsoOq
-yz2qtYDSHZMV0ZOany5VSlRxXtsKFnqJGodaKMJeiPmn4bE1g6YIAYfaxCMLoT3D
-KnBmoP4Hxs5HHU1L6ZQpfKRdsSfKhG8dNpDIYEOBY6MBvEqIIKM6boaVmF1Ni23p
-QS5ExoAkR7or4FZXG2BmJrFNj58lMqA5fk4HVvmYV5Alg1kJMiFG0SxkTvHwb0uY
-AjnkHPAcEf7cYLC29pcXsY8QbNHx9Cm9ba9/apaVep0a1UCnE3AC5bqEs2RTNY4x
-+q7LVTAwu15kqO6pJ7LpTYBm9kRZZuSwrT6Ag1o3bCVx48CeeBxhbuLBOpLO7SuW
-3JQB+MPUzE2xziKRNgF+8twEh/bifxog/CvwMOZvvA+yUUaWjHIJmysu0jiXSljh
-rmTwUJUKgGwJCHOUTE6tULanuea7NSBCgYsGKdiADoEDrgaxgaK+Erhe40jPZSys
-H6ODh7xd+KjUsUNKwTY7v8sAvvhc6HPt6SbZQmuM/UIToxA9FNK5/BGIfzqopovj
-T+oKxs8/tOPW9SEjtgUGXCkIVhDIIVzuZJloIy4DPdemalqZKQUFyx+DOKHu9K5q
-b0cOlRKq9qNTQ+5xq6rLfCVUkttRPay33cQ4sNi8UqoJO25x0E/3YoHAEBX9DdN8
-P+l++fj3sisnEd9cTn7lSSyiqY27gE8/HBDBDffFIKhcJqm7lR8+e1/gzEQC/O91
-ecvZdbSiT2jD7LlAqMq1jgK2DGKVLoUXq2TzicOy6J5s46hZtWe3JPshao5cKlmH
-EV0RnhPUDbb/F8UgZ3XBy90zAliXSv0rmBs4ffboGlElgbaQ3UHMB+SUXA2Bzs+y
-TXCesMIJNW/4sVG7QFW9Wt/L1woSDn92kPd2qXjA2Mp3KUXwFoptOvp1OUKPbyH7
-6l8wFQVSSRtio0PB/WxyZNRMUx06wXP30nxVsBUOibMnHNGEkgv8LQPhKi1v/Vb0
-P63IiehiMzLa2nerAOYy/lf7EJY3Eg+rQCKa9zib3vg3WkRneUuO6I9yGzmR3aZ2
-mpjy/0Px/APtUpx8j7mLDcam3hZ+6Rtue4q6ZuV1ih/PMsscMpyqIwK34v9acSTL
-OWcwi/5VvpzQq2WKpOB8DPLKoxW+AJ5ffQv1x+8wnRWVBTdKEOjpqbG5gn15GkRs
-Y2Tcw2fN988aLsFkX7+o6cqjzmGDoZbHaThMf+ykDbCOjw+2uwRSphAwphuYrpJZ
-GvJPta2uNF16e8JuBLfNLTSk3yrOHDRDIyEfcGE5x3Zr+T6Njlwu8rgvCBSTtGto
-6IJyyMkD4rUiVlHpcHayeA5z3bm8mo3Mdmyp4Poph7H8mC5mPqNRIHDoGQlANUXT
-n/9jM0TqGwoJOXBbZiA35/40EQY8kZ+wWlhxTuieqmb/eYUfADvNOIm9x39ykJdk
-nU1pi+OQ7v9FOGqLswv2BsVKLzloAwOn193R62oitDKaoP7aOF/hYUeHIl6JUoXX
-xcFM7HdTGfw4Ae8ahAl7pgDBGHkMwkQ2Whq3UeEGKMGJNdjWozjc73R9yE5G5m7+
-EzO14ibk6PWJ5LfaaGuX1xzS6Vko5HdBTNDauk14+82fU94vaT7663vGxY11AdRq
-d6H7SLh7zQAbYw37lT6UjTcpT8TB+VyzVlKqR1h6XzMHXIxmH5A4gjU5bMkTboCT
-lKqViQcCuTyWF8/PdBM0GZfv9g/Sz0FUnFFSZWFZ3gAUaJYhVLdT+0zrXStuvaKt
-Xusc4nbhrdTSPDFksGsbGjw5xJWW2vEKcenxy8IEEJG7YnscG6WP4LTkhVpi/un6
-LDL8u1YPaoazZQ+nTQVPfC53eWEKRlc32h1QhXx2gxCUhgR3U7BGT4qUIimsvkWs
-T/ZLVZFoLimUunSRmMJRGkyZjQxkTpT09TmEbghAfT5cnP1QPfN7qWk/Wmk7DRfd
-dVFD/HGoZyHk8jsA4lkpVPIzO6X2wb5WSZhepNv7tzLsMrMsV0nxG1LWiP5Ug1HY
-ZehEJsfi+tMAa7D55aYHqVwzeSmzlly7U8J/A7y8E3rspL4xuUcoZ4Y1gKYIkIcw
-vd3v9IVxwxLmgP0+8GvWTQ7A4+AuO2tLppNCqL0saF7sRYZFiDmyfotofTvIsGlG
-K8XeQesqMHw3Bwt94Ugj3HMaR37fNUyQLrkvUTHlIiF7bcjlhWJszvS8diV3Q2pz
-1Vfduz3pWZp7xnRQrPA6JgxYC+J4zKR3K5vro/LpICgfSm3hvfsES+kxhNWyuiTF
-PR+m+xhATUxVczalkIcyKB9dpmNSG117pfEvu4zAV3BLG3iq0i8hlckvYXO5S+cO
-dWtQsPDs++Az3JedBH00vPKe/Xv4/lNdIuj1hO99CVsvJiOkC+/Cm/3i81RIiQj2
-fZncHbro0Wp+bRxoRtkihM9Ddt4Ar2na/GHaPFsKnZNH1u7JGt/kCc9K/a60RDJh
-25eftb+JJ7rT/ZSeyuzp/E44zruYb7trMcycmgx2lIZZjXWjnXlga/aImi6LeIuF
-j7TU8myBvI4AYCKvIqRT+uaniDqkkzttZNABNcf2bRoeuatOuxAV0nZWih9J913+
-m3gxx7FkAFjqRExzF+pmmpQGoQmoCeqrW5CJtY5dLS4sFZyMxJkdTgMgXvzbLwUe
-6gkCYe3Y7xNwPnGOtR37ZdHvIQ0hVVPAQO7hVj0OC8PLa982cKIicAmhDxngVVUw
-8F7B5TYiCtUdOGquYHSreh8nudsLlaFhBDt75E9x4z1mvmYM3kGk6Cexgd01TuVs
-PMxyXFIMR6PLuKtFds+UDlpbCEj5URrDQ9nw9AUkcz+wiW7IaJFFdecwN7g41Jgo
-ejKypKf/sIkJxHHVvxhqDOQPQcwDm+l51g89JjQPaGv2k07v9hQwroIBtf9QR/k2
-ZF3bEX/PW5UUtq9P2x5crReuNMlzkxYk6kvnXm38d9vWIwN3MJRsQTs5tdI6giip
-u4XoyBirOzwy3zp1sAD9GQQK2yahoFsB6TB8ZTYvWOUsOES0YIzPMKpXclMq9RHQ
-gPdZt9SzMlJ+zgIYXCjbTT9z+ENdfS0GtOyD6s81Zqpn0ng0VJiX7tWT6WNVLMHy
-Vjj8sImGxjFez1ONtLzS4bTWUiHecXit+6zliTzBExQfONycFCDT9nglT63T8tE0
-JIT3AWYO3FzW6ezHheBf6G+gShhPunnuSFgEAjTIuvCaniak+i904ceAiyedUAv9
-tPtZikYpgQFI3rJaiSq/UEqrSnrre5kPeR+k4FCetE++v/q363+Awe90+J7Rza3J
-pP1AJkMreClvbsCS3mV96kSHlSDAo9IGhsISeL+33ykwW1ecsGIgOweMyPvS1Mz7
-beDnhYPaiIi/672W3cn2qDxluCBgo0W4IfID+WjYZ1A6qF2MGu2RX2p++1jr/b1w
-ycb3j7LLtAnlgRbQl7b7I+7e63QlMk/NVahzkV9mWWZYQxjdx0SNz+JCfNA5lJat
-2FLdQLYK8DGTKdzkDDQyYHHhPUtaHcSHRU4AHmdiSriUqH1qjwiNUTH9wgt6MqeQ
-Eh9r18vdvDWqEbwVXNe06dr+DiWe1ya8/fBzgu63w65Ka2QoYAxSWnH62qnKBDjA
-TYkIkE2S2z7Ci4DvFMoRRaG9BkRpO4+x/n4/xWa0wya7iB0PdHa2PhZPNlz7ybR9
-iMqpfQ1Yai7A61e4OCTMD2FbotC5C8DyQp155RKG44THejBEeeCAsz+IuI+/eDjm
-s4raSLhV7kD662wUgxES5b47xgSOJVpNarDHm3tPSqlVaBX4CngygvpzLmCAmVqa
-UUyVUU6UX6hpGeq7qyYWG6FNU5lS8JCxu9ojGkdDoxUAIY7uYA4U9owe2UdR7K9P
-pwDbll2RKZwFunK5jr37g9CJ6RkRUhA81fzTcbk+sC4GzAp/5yCbP8tlX7+thZYv
-mUX+z7TS12hhZXeNBDiew3Q7dN6vLspRjQbQTbL06PezuChvI6ykd8t/CSApHs4Y
-QctHQxZD+PiFDcv4MsZVniiPLISqlJrKmsb8rYUGu7m//REAGJ9iD83BqicNTHQG
-pOaODXk/tDpnh4qP15gyg65WeisoKOi/JiEwN6InFnNKYqfIuSgEzOuGAtLV4nCf
-BsuZgBRzGbps2aQN/+MERDG5WcPqCOKOz9x/0Mlq/YRna2BcduMgNPmht+Zc+rfA
-HndMZwogpnP6hA3XreXT91QJw6H3RFjjTqxWQDHewhnAlpYAuNlf1cZgUWT62sIC
-FxCQ6BoWSP+tEdV10WspEvlLZraVfa32sVQkF5/hkyJvmu0mZbsyGfbOwSoQbLQA
-TRhqYZK4kAxIcXej2FZAKg8PSxYC8jTfNl7cpqJa+JEgiFiGNuSwW6kva1ex7BcM
-jILd7SycNUpm9tPsvppvm+l/6YNpkwB+2g9TFWcmYwv1WXq+w04odCouD9Qp3mUT
-wVZBCo0unWWGUvktZgsOSiUJeHW0tnVSpxDJBK4XKg8kN0hQxyzIG+nrqPXwXxND
-QE1KNcM6EBPcREOt19o7yPdDdTy0sBAUzcycWZ+V80gMyDqNo1VVWW0SDRgE7F/A
-JUeU695ESuuB8XamzRVr1djoTSvP6iA7Zwtw6a7krU6RSCyUcXznbdRoClYHpZav
-L+5qEFPFyawdwIFBXdREQLp+WilCS/7EZ1RBLhBFExb9d4RFJdFIgpgbXnJk7PKe
-GARBeQDA2hyq0BYZ6JMYGuvbrHI413soRD/lrp6Wc/XgloTLuGK5rirmq2TTDP3j
-05wBxAJ65M8EC1yV23f2o5P/XJ4qKtJCmURUH/AbzIkT4ansCWvVNAvcYm2/LG96
-aWTP+cqXToy/EcpbkfEvaPp+rPvSSXY40I06IZssInbsO1pzrTP0FhCExekZvCRy
-/y2F9Io/GOC/91aRD3+gUqabzCoNyULk9k4R9cejXcWAnIyEHYRsU6NpIniyh/FK
-lKnz2Yt2ezb5dq4UXIQoQTowW3kPkCNSsxSzgBo2kWF8gdE8YeLqZ+5LAZ6NVb1R
-iLE97O9BoOi7jqUmXPZYOJKd/iVuycdsQNj+tfjtgkq/Am8Oi7C46X6JZChXyPf8
-KpqEjm6br5+GzFQVY2++kGg3kB1P0M7s8BzIy+9QLHQsuc/xb6nqzFeffK8WAQF+
-S4glU4ZOP4iRuVfWL6YrMiUtdbIYxMy9Y4MMvMtCqK8oyCaW4m1DaI4eVfF9i/6c
-W34p+89/ifGHTqaGLa4oyyQ60v9NCN7/YsiMpsY+CFFL370Py5Xdb0aDWFv8Quf/
-gjJLfsb3pHk+4JewGa1qUqmqP4WEwlUVoTeS6/F+wIg6m9wYrup/lvGQOyzhMUky
-pC3rh+zMoXI8x2uKGKQFSmAlKrCvUItqYBFDhS1m6e26uOZsvET9FOGXWb4i/K7p
-ZJ1QXPYdQbRVAOGWa0HWRkkwjivGYZ6Olp9T0xD5gCAFIIe6oaZKzFanYDvOn0y0
-R0ayi+Fabr28RJdyPz/W6AMPJGTcFUhH03J0lOH5BxEDC3a8Lg/IhK1QH6LhM81N
-PceGrqQbH5R0GDItNvZuUeOf4ET7kEcwvHgcb0hoa3G13X/lQR+37g2bK3L+IZy9
-rlOwmFhRS0VqXdLOTdbkcT43sv+o4hiUbYqEqNdJu1V449azJcfhj+dlBVeyLJ37
-wEq8BlkJdvlhYmORo/6/Bk7mR6ufQBjXpSuLZwnE6SOXuJ4QfIodY0fClAgcLlDh
-HHqcxLM4sQpSQ5oAWF0+mZbzp9A1Sdd5oSxFpMYPbjdzCc+XCJCiHIcbD1dINXka
-zYm9XCetlkvLpvI/fhA49PM17zo+6hurjnUNvZwMAioGeut4p1WsU2Lynodw5ewY
-kx8szCCkCd/cLVPMijS5v4Nq4gFq7dTdv4vVRXVNKyeR3Yt+fx+ldgKkGH5JuiD0
-09oBKwVG2rykEmFqaVzXqcEog0L+p84XvikNfCbI5rIH+fc6C8B/gj/RCpz257ew
-/2aqH+Vhk2io20N0X9qFd5Xb0uo5Ssm8ktMxrPcV1S0u7jXoy4RscJI5sTO78gSj
-QV7iah5i6C6cHZOGiC52FO9aIdqCOgOl82LHrKxLze3cMmydgcZaZeSMcNFqh2QN
-+BVaGJR0YsbRNFBvJSDdMfABDp28CIP/JmzocBEhFMRP8Zya9Tz0uYpxkRcihEgt
-yQ/y80deOD9TEHXkFzJ3ItD6Ws5WRqNuUJNFxsusoAlc1utvBScLDU05WJZYz0cz
-M55Dh3LL4gChgXM3zjvg3XWojJP7XT1uByuRPnEydZqHkP9PEpcoviFxzO3tcqmo
-Pbnakyoi20wVq6GcRG/wJHjPjuG6PS+j1hVrgvKu5X09zFw2a85JfAB8xFXjDxCa
-mEeWdcc/bGBATmgfqJOfSj98WqdU353FyEyZgK66Nv5b9bw95ZrFE1pJvsscCad1
-xzG/q5PcasWvUmUZGy3nW5vn/iD3gW631X/hmuRnmTax68NTTcDzzYtMyezSJFih
-7lJY1hlyk+ERyu3kC/mQx9fEkwW3k0oY1ikxGOphVKuRV14dBOhThSQA0FU9mCQF
-T6BOfGS7VkVtQ0zrPVnKtGSrcxwHFQjKW+Ftz0j9dSA4ZwcAuL9IR4xF1j+y9018
-OJL3zecCH+2cbQoVU345/XiYy9xXtpLtxU9/9M+80W93oq4hCuZ4FNh43vqqI47x
-hAD4cA7rg7No4WjJs63TPOa3uTIsG/Wp+y91/eCfd92uAMbh9yv0smKR3JIyAywN
-dCvjRgiEs/fGBxAP4+vu5crCYyUIV1ZF3hIadNhAFW7caYAzKnaJzZX73bxmEKMJ
-KJyKX/sJoe6AZKQEpYZUttyicEfzm4ejkycRJyKMfZ2mNZxJoltn39eMUVNa5q0e
-1r8n7G3sJ1/h+XAniHPiFZGOwskZM8yfqDkkRq6R/WdQAigyfCqVPt1CR9K8gH97
-758JyUD1+7d/yPqluEvIGtIRU1T2f4XI4R76ocVq0wNv2D7yFz1DxAjHwFhdKwoW
-oxiFWzkuVcYoJ8/rvE4VMdU+mcW4ATBd9swcCUhv/v4UXUBq0htXTyoWPzVaNQ6O
-eW8sH+RcESHbjOzag+yMM343a1O+So8yfRHJoZ9DR9O8NE9l5rGriLQDPMthVnZg
-GIbRoP8hoy3pnIBMoOZs6NwRmOcCFz6WzgqCSUZ356WhRLU68geNvlSrDet+KdbN
-7MWN/78xsrs6Pnp5nqhnc6Y1JygzbKx17YuPdq/vpaVlE7PiStqy8NH28RUM0ieG
-B48hV0aPF2hXjxV+sErEqFU9NObC45yFpmvB8qUI/BnPGq6z++f+HAhrH7dS3rsX
-ckmuTQLOSNQlGHKaEXW+4+11atADmNA22SX5O8UWsuRSZRZ+uMzfuFgYD5VNKE11
-UNlc57B7KN9xwIs8uD7vc5NhIpEVqS6itB6tsgcjM3i0BjIWpllbTYowFaRhq7k9
-i8lXTgFgy/Q55uK+lWxqMxcPiTIo8QjEmEjdqa4PfVDqFt6sKFzJwV9PwBqdO7SZ
-YgyzF+64yQKx3jTzmU07oJlK9XuUXqMA7OTz+rZNZjLy1rVK+q8ec2Xk1yDqWy03
-AZ/kohb2pjQ0VKPSg+97p7IcAmP4srM8cSBh5UMdNEvQOrDIzPRAGbFrc1LxICUT
-QFanStvbLfyluHjKxPOwrrCaZKK8Wu8+NFd8bqBIpMvFxvyxoeZ8up5oGbjcnTRB
-JGMaJffpEufXXBAP8gYINWE50m7iMapQ37h9kqFqm6bWrUgnT0qWIUgVSZ/NUORV
-czWSs9Rdnmv5ZwAXbJh7jzCTF1fNcjTCY6LhGbZ0ynyMfdnI/Goc4kx02OlLTh8z
-vRM/B1QqIbE9wCeX1//lABjtDhsKfnL+lE3ymy4QNRId8TS4pJTTh95z/1QQAGDf
-fN6DD+Nh3Tpf6UvrV5hfpDML5j7f1DP4Rq7RziqKMDa3jogITFTAyiM6M3uJCiCR
-oOutX2zxgDHgCCM/tsq43z7rFgij9j3Gs+mBcNJj17vQPp+sKNSu8JWMC5OA7HCe
-CHVIO4O+KjX8YrU8zcx2BqhC2lBqfAwFO3axIdrH03jAqfm7ouDePw6Q/iL/SaHx
-TGhT0uB+n7Citm/GiuwbrtoyqjnbJjABKuQHt8rZTlj9+VXAANTDVl3v3MqpwEd9
-/3yb4sLM/zPGDbuA2VYg5lq1ZzQh52v14pRTJrHpOcORb0OH1S8issZ8kPg8YA5q
-VezAYkLczKwwoRLDHvAmCIdfP/oAuLS+anIodEl3VXkNbhxHPRkIpvnkCBESIru1
-Tq3e4GvaSg2+nZ67OFkmIX0+fCd1QeuqRUqLgZQ1PLHstRk7mVB0qyL7jjMEBx/z
-+BUM2biguIt0wf8S4cIG42I5Wflhzj0QOKmSk7xVlu8Gt04m4+aTAF4d9Ofrt3dw
-VZqy5WqfuIDEB6NrVpaYo9pN9tiW0QwBrzHtYAM0YDNKN7sFKxG17zNQCWszWtrF
-/ieUmULBkzpAsSmpE4kHdVOmsHvZp9Zis05qWR4YYssrwd7eLqt946XIbYC5p1qX
-SGfi4VJP8tTJhhwr39K8ySMBaSAd+6dwjE5yvOsIpTOdyVe7Xuboakk26c/Ubdvd
-DwDhVz1zrSHzlVojSMbvPKhcmODILSIXVFPVgUXxXzbDkPnLKZiOBsPzHDJP30Xb
-wG4BQ+cdEFMwGRCmsQSt8OcbZ9NzJHzGkEeNmfWSR2k8LkbHibyGvLVIs10TwAJT
-6xr310V66FguHzJx6LEZeaEp6KGrC9wymJrvYSLNzalGWQikvHVGXQvGl4PrkU2Q
-USgfspNaEoyC5ZWd+x774dxSEFUEpF/1KqcWYj5CjpHs2kAaovDRBQQqDOgIcc2v
-cX4/14Mm7tNqeH3Lm7ToHYMrtF29EPeinTjKQAjathdewYfgU7vpTmJ0kucdr77G
-lmYIshkv9anZ7cEYUoEfFnKsQoC5NuS5BdoSZr8x8rSijBRMVS7A+0TezVooQnkf
-RsxbFHGUBVx0EbupCkTp769y+/atIk5oLXK51AzfMivr8OxN+H9QQD73jUKEnMCd
-CaVckboNZ9vACCCr3Sq+jh6ut/w9mi7Ltrc0ZnGXy9MS9i5J6SX/oBfdE67C/CyG
-7tQuI1ASOhrRMhTZ1vDofPkua4vxBGJFGrFb6JzGhSQjaJKrqiLgN1VDdXircceP
-c5SZ1gQRMdsJVCLB5EBQ9mETuP691QIV9/E+M0cV9VI2XEwrW5yIrcAqPB3RNkSC
-MJzMp9AmQL4pQFrAmrwS+GRgBgZ/Qihx99jCZOOkIY4KFc08BEuufC470ye3YoGq
-2jGOToHWe+qtcD3Wp+FKXoamyyBKHhYG7nLW7dXRM8ieyltOgbzzKCUOQfEE3a1j
-W4c1YL3nakXZYSCr3dfyV1Y2f/nUHwmprLZgiLD2Vk+WlHhQirwb18olbo/IevaR
-uY3BG92FNt2k7bX3NKbISKC8Gm0pRDls32/28Tppnv23XVjXoLTaxkEkTy7VYgaD
-+F3CJvZESiDcfEKlo9YgsbwiLgNnBaJKaXWKaRUXxOA/CI3U2U+mAVU/JZqlAbQS
-dhaED0rDoQENaGKXUFMxvNww2vqtzXTtI1LMH+Dh1YWtxoWY9CgYGB/xpfaATY5w
-dMxtgYxzh68T9WEI+aZNBk0yAUb2ro+C/a9bVCeVbOjKilWgeog5vlhvjRaOM+dH
-/FkOPN7VnQ675C/IqXDodHrZR1xFdBx9iY91Z9iQtE3rUfp2rCRI9V9poOr9ffo6
-MaaLfTo+kCtMH5l8uT1yTte/IiNytCVy10AXovay1c9Bpu8OnKtYDdf3YIjsBj0S
-y5MwyIt+uyF64q61WmNly9rqx3LUrwri1Jp3e25nTjNKy2LPMren707peQzQFCDm
-8WxLbE+nZKNOgIKROpfvIc8bdIq3EuZrEpvSNKQ+n+qzYa2d8AHt4QVeDahBDidT
-FFxo7yO/9IJDai+lMAMkq5DQG+Xc1q3y2gL0PI9ZGQOYgf9hEH7FBAS/9pna6SqM
-HUDBtMnwedOzKDhvfVAzBT/Bf3fa4i/GolGCcOul5GlYLuAPirLtNuhXhB3RxTuz
-tK1sIZ8zTzUOHWtOfM6X+p0IwrkCSqPRe3iYIt2eNA+nM7K/0e1Do1fvOkEau9C7
-njqKq/aSV7NladVdhHnppFAf0oTLDzMHbaCgawS+pleDe+/whEgKiOvLAMDbVKi/
-0nAzEBwaWMGkyTpQAQbxaBCpzKJIlKcKjGKHHGsxPh6x/q5B2NDiXAp7zS5Ey+7u
-S9aS9NYQ/phUoDDIKq2I9Gnoin+jQpDd5lmq2oC4sjEqACsT69z5u339auMoZqD2
-dMxcED1VyKYJpc41Ud4rh6KSNcAH9pFQXW/mcYCnHVTFSonffWIdEvKfmDvNp2fE
-n6EBhpkGF04egpqm/QPFOgzrXyuGsNlwd39Ts9ph6nkDy3/jUjXbWJyc5Yn7x5Mn
-rU9LpGaV5mLZUwIr7JnkiRguUn+k7Rcu11F0sfTj22RXh9ewjb7fr00s8CYBIaMf
-sqih1mtjVXDo44d7y+XvqvQ8Pm7iEghvNDZrlniyn4Fz160Zkyrg6Ws57Sm81KT5
-3mQPp5lbEabmQRve8bwsfpGHC8o4V+zN1B19ooJnTFFBtXq5LidMIaV3vAMojyA7
-j/thsES4fkdUoCItHMgjUykbsSGSvVnpgj2BUHcV5kyTNI5z0/PewNIMT6qnkx1I
-2F2CeDFPX3wFDDl7Q6XH0+WQegqFhK9RnE+WFcydcNuMasL/0yiFLTRmQy/TCYGB
-uFB+DorTHS6r4fNtelHIL/H0j4zf52GqYZCWLzDO1O3F98PXSX5wAM6qkW9pD+kk
-0LPZiQ1I7rgpHqde2gIGtmkXZ1rKPmBKFDmi5yno8ObiLbkp4UMSPytZJPSD7SG8
-Js3T552XqQgklLMzmvC9/68K7eLUZ6M8bYG8uZfBmsmoD7VtfDV2xPiCdI1eu6Ii
-sFhu70Mz1dP9A/uVTemG4E6e/1iLOrJ+TIxhwLOOtO23ojlUkMSjIMljlnssX5Tq
-W01pUv/D2QfVfWHTt8a9dtDp6JJPRVG8HSsZpdAa7sZ8IP66FHh5/KpQ2jzyZD/a
-cfd7uuvcTsM/MDb8oP9iNgTjun/gz2sz8C6pspiqTJM3QevC2zCqpZ/KJDaWHtff
-oNb7XuniRKQkXUdA1LEjYp8F/OEyN63uaV68YA8K71AHYK2Xc3V/ThYaq+0BOo8L
-r2XBLf8Or72V0O+dN25be7xviwStk9gUrU09VlHyQkqugCIw07ub0HcTY1tyVbzd
-VyVCuFKr+UOqBxPPqNIL8MwVb4QFHS/A6/oXEUo96NAijfSij002e5XGKsQHQmhE
-tDG+MAgrF9H/wwAcGmtvCtvhTxaNN0DYvHETATAhMtRpz6u8uOfxM6Jywadco2UK
-WVVz1IwxuWHBiwrW2FxQIlbwJKAq55ciFv8UyQhZhTS4T1LzkzW76N7Ym+w1Oaei
-B4znbURpEob7OalnaDjizAl4N0DyPcyuMEMHuKmPaiSa0+ws+Xd9VixLhPWVWIlG
-+Q3y3aHuIUN9wP44y3ZP+CcRofiU4KoKjj/QxwpsPYU3wskVdMlWfC/Ly4rwfYkt
-Dirwq57X6BkqBQ69pcfsrCDWmC0NZ2cxPG+S1FlnD7skokltuD7KP7NA/OXGCsBL
-bJxFiJ0IINfE6JDvColqfT0JoSTaCikXvzXzbwf7uyDgQKye2k/I/FQNtHw4PLF2
-TKgpQxGiKyub1IjG6ythcgsSH7zOzYjZ7hCrgUz2jpkZNIROyHzg5uP9+5CGXCru
-FwPOn5XJgywh8PVBcVemQT/yY2+UlhKkEilTq1cBym3nkxHTcX5ORuQKdyxzI8UB
-2hXP6jVDmBdQMiscreerE7rJHiKssu5pKb6ROQId5eto/xDU1zORmJjPiV1L8kW4
-k/DErFhn8uoBVLpSA4mFldok7HUtG82FbeAXrcr/N/ef3uwR3DdNTneLUVyPaGcw
-hkOMbGCH4UXk3Pfi2GsOHF8gxeCwsFaf+8HQqqcR3MVpBbDYblqvBxTgKmTEKUIj
-YD7lqwjvzlJFVZ6e64iPVZ9qchOFSLnnqJwplMRRnFgUebr6vrYeDFsV7zsct9VN
-JB6mCNT3bk7CxleTAgN1ylf9511AXPdJW6XVI8U+To1RUEdgBI0bI3qgpSelaFde
-6WTdiXsXeBFPdr+4s/Zm4eANkYEQJNidyCGY+ZzZ+XzfMOp6JdSqTzX1X6qQOSBr
-R2H4ykoMau/gs59YUiLgmVINgJp5H3zBUU+UBLuKHNkZM3ELJgMuGWpBDOA8XO+W
-MIUp21DBipI2roY2bFxKb0H6LdTXFx1h2vvWBnWbxBcYO2pB4cONgR5oVy1TrNfH
-/392jkzfiJUkDfqffZimeiW3N7H8b8DXYOUlKb9HcjjsgVFU/fzDwQPpbIGuryej
-0LNyVRBfnMO0GmvNJ1OKMUcHEqJBUvHbvQL0AJk2K30z9cZODAuhq59HiEcz6WTo
-n1fR7Ot7ekl8z0c6RYOHSP61wW1sz0pOkEF6NVViplrX6cNUylP8TE7HRycSju/w
-wYQ8LL8T93CN6gRGg+qJrKKsZFQYcVUsY3G0x9LRTNCDLKUKfZwbulH67yOxp2us
-NVvFjkZnO00YTo0F7ZqbwYJLuin9kXHW+FMUXOTVjwJB8acmJPtAsZR0841v+S5/
-SzHSr02/TMlq0IKe8X9gpCJpA0pmOKjTHHweDsiqkWlWE2EPZNv0VasC2ml3f/r1
-3jDUMd54Eakm3gi9UgRT9Ly6c2imCwOUcC8WvXewiCFb3SS1pOIEk3EK454upS4g
-gy7M27vW0fXOGEoANlBxjap/9uLIdGQFRpSSmu2UB1/Pqx2vyNs3IucXP9le8Vwk
-u+x/VwLZlVtCltiHe3CrrYGO2agGyz4FsF+hDPenhFkCjgvlfMHcuuM8/SLQhom6
-w5xpSBEJLlER1+zEx6ELmw4jZqMuD6yal4pVp+lR3c71kMMWnBTvGdETB+InE9qQ
-O8zn8IFoGCThQ8Bo1gevg0O6JYZtvAp0z6bVfwAHN7ZDTy4Yk7Y3J9YBvfhkuxxY
-I0H/nIj6CFsOxovOX2Hyn0pEz7Ogh+dMfvgQDHPB4rLDCOuhG8mfvfX8Y8puyfwv
-6ew63l9sLML+gb8Na7is/CBPapJHS6vHZFD6k9/4hnuLNA25WoZIxGz/3BjpJ/P4
-Lrn82gZlwO6E1IVKO9EA//yDSfAjAoH9e65FPSUQENnFtXCl7A7w+r0Qws9KFxjB
-WjLyls+J2F9XQc+SH51uLY1Mt8O7HkeWBk66piI5dOSB3PL1NC56XUlKEtR4U+WQ
-i86caqtHwhC3MPKHdEkKaSqTEY3bZ29OaJP2pw5FEgMdOVQdsmagvnj0uHul+WBi
-R6mJA66Yp+kfT/fmVm3IwZUKYJBxoPGqnpmcohiGsukwK76zfrTNJebUx3VkU7BG
-3BtQF76CeU22U9oyg3HEgjJFZMCdYBcKDzvoCFz2AgoHWz+6JbHCtNz0iBN38m1E
-P7f4qZBQFy9J6+dboiLd8YPcvbaO6Vn6SuqMeSZRkZIRPa73zO6ipdo5njtWNare
-vaKVpLvcV1HaHLT+v0AVSGcjzBI2PTwzUfMEPHnm8e9yXd8KzKLyrkpJIfVsJSS2
-ohC/6GsOud6X6iOBv3sVmqAmwZm7kVcjel16ojWE4VWbLAlUSnjGfqwc7pRSy+9i
-SYtNnLVUtC+DgXIiLoUeCQ0/X6iRP4hJHSudO1ICkuc1dN4nUsIQJwz4R0jtPn+P
-GklnOOluAnqZbmrsjUFDpJP0zO5vZM4lX/IoyCzifubuCuICn/JAb7UWcBNOTzsd
-A7osWTXncSrpXRrCEkuxAB1Ghv1SOcwrhtUwhB4zKYXqYiQTetKlSKzSlZZ5z1qU
-V42GD2dIKMqNN8/fPHuf4bnz3FLikJomtd4XFsG0eV1ZiuHYeXdFNxKbvRg6M0AU
-KYfs2+5tIahVtq44HawcbE+Tr9jcUoMwjRHBP8ril+NqoHm0EEhhAxh4uIgYrUiV
-uODefarmAjDze5TeicE3oZP6utHZOkTZi6GclaAbi/QQqYNvXm0JJjwgMBb2p0iy
-kdWhQIwVnN0wruLY3qcsmztbg/59zZU6oMKfddC7F1OcbCKaQ4qP/w/2zZXFd1Gy
-GiwuHMONYbNQIyZ4Ny5xnl0iM8eykljPG9AHGRwOAsA/jr4pwo6KgvZDrAaas0sC
-hK3N8wQUMkjKgKwbgRE3txpSD+HbPnGTcPHwcC5rzkUvP21V8N20bae75or6N0A8
-goV3a4l2jSqUTTOqdHJRx8h+Qj15yVeScl4IRYld8vnfs7g4l3xGBJEjy9c5R91k
-Q53h7zWB6MIO8mvrRriHqxqae/kGJGqkvoWhTC/xGODBVY9Z4CoBOI2ncYA4eauu
-6t8F7blrGK8iIX+BN2OlpfzV4bneQ+D8Cf0m7v0+PAOXcjmnWbqAL+6jVtRBDwSj
-Ok0pzxBUXJARMbVfH/058OdGFIYhtl04XrYZIG8a6yMRWF0dZb5QnpFFc7WUZW8L
-2LlbVF5ya4YQiEoEQCI8dnWkCjpn1kVmtFDT4ZiWXTJOX6+xeK6bPuBF9qIldAnw
-E7pheK5m5wRoowcwDgKwYX6KOkekCtxYDBj7bfXBdhsKqnm57jKEwpd6YxI2tqfH
-q7ocT5ZHh1rNmtfZcgrIhi3G6slvMyXdu9zbeAXj50kCcWiXCCPGgk1cjJO4wpXd
-5hEoRtOK+CNALRVUc6nkOreCtPEG22Scp7s/QRqNx1rFt8w7uyVIKEMcfDDtidCp
-uf6HcEzqb2tXNW8aSIxR4iseX9kFtnZz6XYwKpPdxd98PAGrS1CHVW7blT7oNIF3
-+Bu4KDUeXmXNiJrQLDu5BDsPtOeYMPPp3wqM/hmFS5Fb+i7fENAyUZ3dMTpU23lf
-J4ujjQmURuRutmrnFLIJwsKGTd0SmRvgzkucEUbKJj+HEcz2JHJMm9h6KWQpRxLW
-v4LrvAn8+u8L4x7fdcXE+xAVq1obD87m6Nmuux83KHq/G5xTElk00B69NP3ZSOye
-VrTquQbJk3E5X/mltkkJRlNqs7vNHM5MZk3iB1xoElem9Alh/pC3Jh1u1yAHZLz7
-cxYMb3JQ+sXdM+joTPfNhg/r9inFRmhYJsrO6ikcf+5skh0R3ecKces5LDhqRW8g
-xyreZHG/4jJZ+fE15QPdN3f7TiTi2N3pdjzf3d/zeN0VneJ6Q5WwXffRFptyKHIb
-w8nddMHwY0wZ3XQ9mFpcgRFO3kLX+6Z/dfsdUNIYtjaQREAZf85LFZQde0izRk7B
-KjYaIb3I1Jm8GcQ+OhIpXix/38FPixEOak5vrISq7PYF3OvAZQIjL48OFq8OvdaH
-E3hbbG4rXUf2bqyg3qxMji2CukpdduGsm9yJ48v0CZktJ1iGdU06naKLOmigt5Lx
-4JJTUuPDt20jcYQVZnOnKPL1TtwDInuSa9bUeBqnsY7M3EX95UWXZkF3uxOo87bD
-rW0IeTGZrMQMhnn6+6jLhO0k0wo+RJc595ZcvJqG4/QpE49R6iAdGAQXWF+7VvHe
-d7j3LILTG+wBE8f3BOJyGp0f4PRKZZ9hNZjFiRj75x5DhOpm5oh8BQf7QmFw5YiR
-61/P2JU2dVHREJzjJvExdCMQ1/F0XAsZr+zrhxSa7P/UhGSnPoYA3d47mhQ1j7Ht
-xnkMZalahZYvpmembGlngwafHgEYu61cJx2rkm8qlCybAt7X6AQqr+CnKThAJVc2
-Oq1x/il1R/ri+DEabQXwG30NNVath7/6hZRjB6ieGvUFxWvh+USpzJIxIVMzbZn2
-kLzdYRGIoM41mGbqT0utMLiTrWj+++M98AAvZv3fdZ0Z/dKDpPLtGklp0aelViH8
-OjOaaqcPihARwlJjjHsZPkwJuAxz+GTTwSpkPrjIhvAdN3DVB62l+vF53r5H9Kff
-41xVvkDrPXaTRtEQrdVabu+exarVwGSh14AU6VasfgWHV6frZKkuIl72h5aPxx92
-lAaTuG79cRJ0ksOMiioBXjSFB7vbUiqpJrt3DEN5AVXZOjtlqSv6w1njmrHllwxA
-I6yC8qHbKSbhspm2SiHX2Khnn6nCv7QUNu4EHCT20+eoTUPEY8NiX9m0xjVQl+Ou
-ydQOzH87Zn1CSTanfIajn+9YUBFHdkSEQ4SExK8ZhZh3MAEOsHSncUIb2nqk5aQb
-kIbI2vz3wZKLaDlqLJAgvs/ZRMnsmd2Oqx98k9dab4yGsDQuR6AAVlotL32YfnHa
-LMMjH6P+Z3ycA29IrCK+dNnnpPDzdJHvv8hV9GTZ3P/FQTPHdK1qIOpBdNXN990p
-bTsW8GNojJJ07BFQuEkvCZG9HLHkWuWWARweIoUXkFNGVso1RJ9Hn/2DaVACuhMF
-DyqiReOks+QYbMTVI/6FagEMsLcfQWObSciDZCfgwhmfVlhLCiYTbh/UviVsVoul
-99rWWsq2gK4qbDe+BYUY4qr9Xw9Zj433gfAu2S/9yvBvo4Y874nZTIv6n5e0pWPi
-2PyoIVdVw081AyOSwOcm/kZzum2D/bVU+wzefkCMrjvF1wXWHLPNZLvP7nCMIvjw
-Rj0T7f5oI9Vnq15vhU4klKdx+fOTQu3bzwcaIt5AaD1ZXLDhfK2RVGIGM8TFTUgh
-ep+Ln+QfDyKdayHdT1gKRwUNaeyC44c50xorB8KJKYQDnVxnh+O+T+ZCgQxsqxbv
-FkJ+pa/BZjRP2DYVn4WlmSbkBfJ6fduvtA7DVQIcRRTBg4nrBO1HC2Y9kXatp28D
-fl7p8kVwUBWeY5fkxGOuAbbVqBGEGUMdywff2GagwwFHNvNShEkMpN7s+NOxXJ6y
-suYUSSRGY0tPGErNQaDjt4UDdLTNJLT6lA+ZXElnWjhJ1KF5NLmDCz1B2ab6vJ92
-PDEYF/jaa6y1WUqUwgHqMd+3Lh+eTyOVFVM3ZPZ5/1g1cl5JBrQhUQPfLTOMdCIm
-9E4TIPGamk5GpiwEFRQ+CgQoC2SSu+VjqNdKqFX1SuK2PL2TZwf2vWl1TjE/+TNe
-A9NXZzoBRaXdwR1PNUAl2RAvTUPMo2UJDN8ybZOGQipr6gMJW3nIrUv17uwed9+x
-CIeV03pFk+eWbR3UI/AwEdjoqHbvU50vOyzLsP06eq1OsQZo/lZ0NDNrkCzgSfc2
-KLVXw7GT9oDxbYmyw6ekmF5ju2MAUB97nOF+MMb29dTcmcBVckBF8HtSEFDIV/Fc
-48/Xicc3gaCEq1bga2WLGf4rlZvYIAG8MRZK5ECvFD6A7qmiYWU0D+EzB5W/iLT2
-OZsuhjpGTdwaCLrgAEJjfjUgdFykQehRlLCV45kQdzKHl7K9v4B1GSZJsxr1z2H3
-Nhqp7CJWvi8DHKjj6thWwuQev7LmfkIp3FQH4bgdtaX8yefz1m9V63jXVGBarxPT
-xlPMlt9eR9La4LtH9GWmQ5ulNKfshvoKmoRbzlmngA8K7AeaQpItRlDK0ajaXxMs
-Bd0qDn2QGtnj9IRW8F4ziMiAsOx+zfRIUMlzQTT+O62svK2oWebYgyBtkmlrnGPZ
-Us83QiDsyWhUmlDMFeM73Vwkcf1PeEMyQQBs6jPARBQ1tFVIq0Mc4GPPrgy4P6KI
-XDr7SiyPuCZnpCDA9XQxRX4AvnN+hBGEVnSzo9jIepteCmNA945/FwOxNlko5XmE
-/wfKgCLG904udy/DLHs+SQj6Hx12A9e3YAP56nCDRj0ujJvOSIxwrR59JzFUfm2V
-q0L+Bh5RrqZasf5qyjrExLM2Nz6ro3bZMVNfzmF4sLtUxEA8zKgSTJz/s8lpk63u
-bp24pdv44hAHX2Q0UUFR8EhKxWMo9VeOap81BxQIacXaitLI4fEUw24ObyFVwAwA
-+tu66RzMGhLugGd5P5J5i5cxSGqx7u5AvxoAUqlA4l3Vb5vvWPqUFQJ95QleWT0c
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_reset_control.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_reset_control.sv
deleted file mode 100644
index 0c5db78a6effa54721f128f03db13e165c968148..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_reset_control.sv
+++ /dev/null
@@ -1,460 +0,0 @@
-`pragma protect begin_protected
-`pragma protect version = 1
-`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6e"
-`pragma protect author = "Altera"
-`pragma protect data_method = "aes128-cbc"
-`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa"
-`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128)
-WzIf6xBwdcVIu/UNfZQEPw3LCYqofbY7ci3uKlqrPnoQeS57hchi1x5VmkV5qS6C
-keHwOmSugQgnLemj9XH2nEl7rJuLpzIVXdYPUSQrIYtdcyY7MA6/ODFllGeOtkwh
-yOZOYLENeU9SOX66QIkF7aX19MX2AQ6y1+lJpXv+61U=
-`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 21472)
-/gqN7XBDrQFa3PzwgkaPqOIJ6poFstQTZcu4peoJl+52NaRjDrR0RPzbWW3xUrBk
-GsZGuxkYXBleeAAEGur9/lVWHrsi4ZCRZRwNWJPbfzYVO/hAM82ufi/7NWbGHmlb
-n+8QtL5EBQDPn5t52yHXht8lmNpL7D6s9/F6aw8ibU1lSDfn0ho05jGMx3ayBRLx
-4+b8/sDHH5WHSyVZ3nRiTTEY6pvObK1+7Zmv/6CJud+dJDWnFTdBfO8WC0gdEtNx
-XqQTRi0uyvVxxD2wmc9X1XyZQqjabqTjc0yqAGIdErIHt8rxqcyNTssu2+jVxR1p
-+PhlJu50F6qe98lZtqEugcROqYimliLEZszJ7mVQ1NkBYIWim5hD/Y5sOeOGZQxQ
-WM7BQFv3hEZeyepq596FNvEO6h+HXNvY1oiUkjuBtthInezbdGMVT2qc0zM0yAFv
-TPhrxd+4Sq8Np0XtAZk0z8hKs5uFt2qKW02VXYeGcO3KOQ+oBIG31wS9BSslG3xt
-YmVCljm96WI6jGmN5Z9UEtiAdpVxbWujygyAU8tW0KcAq504e0PVlYpko+8ggABA
-SL2ee+eZiT0rAECyFRrAD9L5XJ63shesXXH4legF6pOEaI89G0eDizf+fA4m3L0S
-cf0EVAulIXuyFuzZY81OJQShz2c7bxvQkk3QQiWxB7kKuZPCv6pZtvsanoNzgM2l
-PAfRKNnxq4/umGocg6XRphqcKht3dd4HBSAjGGR/RjP/EJFJajzHs5zr/KxtgGUH
-LsLnz4GICUk+ZzLHPyN3eO5+bw2jQq4+huYsAtDSoGp+bBy6v7Aj0PjJmLyqMiM1
-Nk5cGujzCoI0/G5x0zgVdBffHrGIxese/WqaMUMZaIOH+YSpYUFOCGrD9BIzUF3D
-wS90lhhrQ5WXwJdNFuAsX2oEge04vCA1RH7Zuo+3TMHEewsRhb6KmkA4pby5f+Oa
-KJYYFwP3EE+sDiJyBakFJ99MaoqhJQKJUGHD0XY/ekicV6LheGFIE7K0YjoIGQ1+
-Y1T/dW03esylsxX92neBNsa+2qfpp76sjKaAy5Gsh//6+CHR4snRd1Og+i1++mRs
-pgQLKX/ZYr0IeKUtoMK1sRXJZR9Z2by7odz8LuIpXb0bI8GYn+NlMpLtd42C7qUC
-nangxdVU42HqowLTxCT4lBO6d7/wiKrts9ZeKeZ7GCipv6zkEM5xUrr/y6Eedk9L
-ey2vlp6DnYp90RdcuViQU4PU84VymRU6fTbxTyTsjE8DbLRWgE8goNyU/B/TYbrV
-c2DSt+qO9yvK7ITTVki2mVIpDOPcyRtOw6w1DHPmsnO0/FuyJcbizxHyXJocGNhm
-A1a84ygJMG9tl0qIz9MR6kYOKnXfSfuYxg/LwiVvRTOUALX1c/UHb0lHIDxeQTWG
-RK4Gtg22DOK19X/KxgifLvhiBQxnHyfjy2skSP14xYYcfFcgNaUpkJDpGc+lNdJG
-5RDURNvmVGwzSRggjnxDp1czmyfHg3T60okPcgm8+E5QJFuOWVzNgfaGmVfUbnHG
-nXEfyF80lH8ZARKtzEPuNgvvUM6MsVDDnxCUzvmBzzORGH0F7yjbvlFg+6uujjVO
-/0pLOhFX+F2rlrtpBx312Q4krSzBOytJCbNshmH50KntBFm6lZssU2KDMBsfvH9K
-3PbLE9nOsX1hCLKqr4yrBPuCJijKQNEYHHax0EyiAsWO+ZpEIsuELslX5Sld2zk8
-n6fCdzWxS5w4MUtZBZA+ZqU8lpdZm/3p2KJeX8xaiv1Ga4m39b4tSb2otpkoFXnU
-6ta0LQKFXWPlhRAKidZZppC+XU2N+fxw6tvPmMDTlj4ak4LOPM/HxIks/cV1C3S/
-XgW9cLtbthc1txKOEvgPI7/EaDvJBtBpKANWzeb8q1okPIIYBB1isCu065iK4uUp
-e8Vi8gqhiC0Iakp5lQKFH+JVvCV4d0RxtTNkgZvx+A0MtzEMNIYOSIpryrg/DXY6
-NsiR8qXPsXKqqdpsV5vSLI5OOCwjZJotKDp0091VYQqEtBBfXGsKMk+dFEQ56duZ
-JVWbSNIKaGC3NxwFT6CGB+HYqFJaKzxVqQyHeUfGsAka5JNBTgyREL5PCoE4ccHZ
-wfhOCDVbD+1mRZ+NK32HVKRa+j5Jl18dDYhXxUf6n3yq25bLhFPdJCABBkaryZ4+
-TpD3NgTvqkn//6R8jXphyPOvrPzPVymD3mYmP/TdJxJXVNV+JnevL7Myb+GaLJ2r
-MszxIcVmaaFUgGGjezXmA22HGUV1dxXFo7JI/7nFvjvZySEkhv5M+zowJY92u9ir
-gJOU/7dv2s4mO027GfROisgM/c2gI1YJI5GbthR7Cc+vTo2sJgNaDAE+I09/OtN4
-kvHiy45DFmr+Teh/qoPq0ZJAp7q4n4/txvzn5cnpsACV8ZAh9BsrowbXQl8hMAe3
-cpwo5qFRpNUgZTHG7Zg/V3Id9il7LjzJPjyyFVSsp6sqaFs4AVY44+3yU7kvyUgP
-C3Se9fDrsRl3XQg3YSD9w6MFOuSMbDLGmox3zrvQJMx4NuSJERfgqbYcAdjgr4ME
-w+WCrXvOgjV9ieuqu/zAyhSxB+lorwgFuihsrmlDhWCghlFY/TVRKIJ5V01EvPMl
-ivm++/YSN0x3LV84oigbbxJJ4A5P/3nVErKyp5ZhciSfqfwEBSlMtHjse/vKEniI
-FV18Cbo5zyhQ7Vj/iyIhCv876KFMLmdEGydrV1UxAhBp1jGzvTcfCEMj28B7BbV9
-7HQD/PvrnvB/2MiFR3DzDnMckCek9Kqiyq0hcroxSItx5Rv7vSgrzWD48InovDeD
-5D7EPDsJJ/eIc2n97eWbuGOpvNBeAMljXt/i2uPMYNpzPg5xKGDVwCxRJylE5BAV
-KVTc08+fpWlEzKCLUZZfP7CI0lez4lOqO0Q/hR6RDoOZvqUFpccwMMSdG3M6+x/O
-KP3gRQsp+rKF/Snk+sTXKnDjiKvfvMK8V1OV5bSyg6ZTjL8CiVldUgYIamztsAQi
-lbCKZaTyXGkPoXLemJ7PvDQhtUQKKoFZH7rdvB1ST+2uIj5aOySnsBjYd6mdKyJ1
-/2x2U+xA+Vz6mKQcsIu0PEtBN+8IXcWvcz+4G5YJ6klnhwutomDPNLy9a9BjvuOJ
-3lu7oToAuG1EAN4zBY6iJAUKHQrkVkX9SRzg6SRVi49+fa876quPGjKGKN+QaJ/X
-y0tXoSiDfmm3oxc59mWIvvWtqgw1QG/8yZC2kZmQxF8qvTGr9q29vBL7tjzVtFmf
-H6WsJnk1f5Swq/2QXOeiL/i6omijGhZejpHocgTn9XwRcxxSKWYJCC62Qy8LeLHB
-EmYuloR35n4I4DMBQJQPWHsujjpxOHuMUR17jRP7Kv5vyXF9SVkMqs0okmsvt4Zb
-jFTWV4YF7nNahuBor8jJSQjAILF71b/CVtbjeoaLVQHSELuhJOUuydxbcCvyYvN1
-t57iAcC0qaT9s5xPwpUqNtDeCY7X2gVUJ3R20OFVbakzpeRKXcUGqVw6419ZJI5N
-601GQpZCe+f/GScg0oeBvlgYH7UGT0QNatapiLRaLWo7tbM3Ioj4hKKRyHAkv0uk
-FZTcvwcH6lHd02fhjPQzEIIMhIPwouizCpfDXiA/Lzxgc8WwvVy1NGjTNDIoTWO+
-wIoBNE1CUjX+1vW344fY7gkcEI6jC8mbl+8CAVGEMAUZnM4zNxy8V2O2eKEw66uB
-vgYLwxuS6fYfMq+nX2c7u8/6EsUmHi2x5B2VqtWqnoi3yFb+ABY6B077Lz9g16zP
-XfOcx3HLh4yA+TxwWgAI1TO/cR0lbsx2ZdjnmT87VqyGxWGHUhY7dd2hkb1uwLMD
-gz/avlqBWhwUr9TeBppJFtHTenMNAzmprqc2blY9dlqcNaVzYwR4ZT+7baYpHF0u
-7Dr8yWXEQx7QQXeEiONgAtWPMixXdQJYSXbvmiLe4/wTDGx6bXzneodyYCNxNflm
-kMbhWSS7kjF525LqmBhk1akDilXdj6vFnurNGDGVlbdVSspC05WNGvEIIQdls15f
-7JhRsk31i6Hq/vjYvUUVyN2wVbZSQtc3Lbbu7G9dmVtgNpnMmSMzPCFqVzyw2mlv
-mjc/GrTpivDh1dAoOs5+j2b5YEqQiMbhaeVd/rzcPGVpJ5h8ucDz0iauYOBH7gXz
-gG99TRwNeXb69OtPbLSBKeO2j3NOhKEYI3wv3i+zC85CkAdjbObWrRDmvzC/SBdu
-voXwLEsnVc+GNL41uVXh5We7dcsQx4GvfQZ/kEi3oh1/6MtnYHZDNrOyde6kjxvv
-RyBF3yM5kcutykaJ/P9Jy+Wa+9IjqqIR57iJPUO0KvY/Szl8g7y/IuQMF+xYlzHw
-inp6Uk1hsoSiU42v242XEBS7ZLiK8VO5CFlxswUGsSBw0XMIpOO9JCau1R5aQyah
-Axo+YdwexzxKh3HdARAQJ09WDYmeSztytqyLfBhx+GTHy+4/N1Gu3Tb9r2O2JLCY
-L0FMKkz+bQBBkozZ/IJ4O1+bKdgR+QMfzjjLZbWhTXM3x9IvNwTeQw0lilCcIsUt
-HGayAyNTPkxg9CzYP+a7MesaxZ43mJXRKrt4l+3rFghIqI6NGPOy/iMXDAyunSMp
-CSPoZbklDwkWdtulQ8eoEjCJ0Qdh4Vtu3iv5cN+wvM9BGS9MIFaxN2Fz1QIYoOLk
-paJPO986/10OpB/MhOiL0+HZELhqzQxM5umQbaRLz536Oy6XbFDq5X1sP7++TVaC
-X9hy72pWKrxK6npbDILBlnUJmnhrDa6rYgJdHOIOM2uwWX8oZsY8sxAxi582tktp
-QI8F78pDORf+x1TVTDTNPC8MsSzwxjCcS4CBoHBS+ZBjCWCHxpgGTn1E2wjBoAzG
-m+91CNeq5vF924mt0SFUiO+nqNotBERxps7ayhGrMHu8XXpLVFp7JimlFEYNiDzd
-zFzp/CVjOrJbuBVXwaYyi4jlt1OoLEc4SnpHZ+091tSLEKh5N+1ENzbsoV4n1a3D
-2TL9peb2d46VR0ZlcG+8p7vFvm4xqWpmizH8WvJLdjiugGZZG0k+P619tXbItPEV
-q93DIJ+Lt/FIYkbSRI9/pevCnM6ao/t5ffEIfqoZPBZQO1QCTf9hSdQeC9xf9gme
-+4B5LHvFcfXWHI9iUmSbrWLMczx/EiDwS8gbaZ55RGfhB5k7a4+ewq06CdlyVqAr
-Of6K05+OvXZFF3Px/EpOy83ftuDmbQvqIally4IhpP1/IefgwgqRO54uOw7Xri+3
-MgtNaIdDG6X2UlBGC8vyfW/uMdDw22yY5K1wQLYpuL3GF1RaoEDcYb3PP8v/TcGJ
-1Wut25vRcCawuxkr5vTOyF6Y8ttn8mPlSsqqIkWj5TbF926ZP1G7OLAXuQkxG6yi
-E2kMPFythi9f5qiU3rTlZNHovluyWwZEZaRsaTm0waoXkOwnfynMap9QX/th8lM0
-tPydyiH/aq9Y2axMedOlyAwVvyYluIjfv2aHtDC4McGNcFGsdzaEuJqYyWcbW9T3
-9mmxBZkxYhxnRm11F6/dQAUEgzTgteAZMpvVuVtCOrpQ22jLQ9nNH+bnaz4Sw2d9
-0zKC6FZNn7uq8HzCnzbc6+BvKGAnvshRotAbG7mv2BE/3h8bYSzDmV/IWmNirI9C
-ZZPNG7k+9bAjIu3CwiNLoDAIBoFxWnmyJNWJ1fEKIC/xIJMffHXA/Rfh2RBz3BQ2
-Qum7tIQK5gOEzfj+PxpTvdWChM/fmtJz3kskQue0wnybnCX3ySAXYffCBFA7S4zW
-B0hr2lD8buqTs5lXeJ5Umqv8RleSUUBXz2h0PXFMOpnoab3LRF96kBstM5azUQxu
-zQrTnmvXvMD9sj8SfUsKzzfl2OWqdQOXus85iCvI/c/A8j6kLHIPl3ss40RGSLDq
-M2U/dSK9U1ED0yn1bOU1680BzfPAb/iXQQegLhRZjLI7A16RyijaCsTRfQTEeZ7y
-v+llXvGCkp9CdAEwhywSwIAkzf4VI5Zw/RFcPH4f8Sh7KGo6pvXZgofKkWnCqfLb
-KbcJfr5w9xJk1RaToGqHVkCGgY5WPxb5GQ9Mxcjpywu43WYgkz6X5S6pFyaa/zm3
-yYi8qNiZACwJSEEjaeCMX1RzmA4DtvRJf5G5+nQRp+8Kbv7tx6zNk5ETuepembNE
-JyhpJ1/cJSQGBEGWoXI8wdwL5aR1nEOH9a7WdqfeAbJYmL0O30nT8kpwIuXOpy12
-1W9X5UB3oarvOXqB9fanMmHfNVNZffSxmIwB3ABdKxlBAJI2YWUCtsE6uiJzurvG
-nP3bxaxMT756Rwn7txDd69wCdneTDa2R5z75kdyJlM2OJfwO+qNQa7ZKWQBEQadv
-TJru/XnGExk/DFGN2mQmmqEABKD5vztXLc3xGh3AkXw8KmFvlMG9pC+LjEEbAlm2
-qSuWEAH+Uu9XsNAu7FMazJxWHENJ9gH6nhfu1AEXK54tQCJcCFQlOxQ2XTPOLEPD
-I3pDz42HGyVcHNmlp5+2F8JOkV6b6VOgAwSZWf5kOwXwx4kC3O1dKwEDMYHs/Wru
-rh9uRsL5I55HnSEGpmncg/5JLNSDO1F88dNaCKiszkAd8pdT/TlB2lLRWIXiUcCy
-z5M63IhkY7nExHDqqFVEWBvHg6ekOJiUrxBxePk0hRBSAjhMvTe+Ny7xf7UW6N5I
-s5mjXKBOaIXBiZD9CMZ7NaFfPrKktrNaat7zl5u8f4ZXfogwzvegc0ab5yzXdydZ
-PVlCB9GVdKmmu3E6ZAw2+sqDlvgNR7WkjvDGsk1KDcGJiK2I8nnTEuAB9HuLHczK
-C6ayNTvekBo3cNxY1saNVzUVjApYaQrQGU+NIxFyqTsyKfRZt3bnaFq+XiF6gZyo
-3XTJfd+sziwA7AzNh1BJJkTDpRm0s076te6prWLSJ/3+jEqGz7ElAbQPFk2FkLmH
-m8Ct0P/RWshlXdsCLoITmlY45jIIt3fhQU5bQWcSAbpq2Ap4lPM0VQBqZcoSKYXa
-XOkzt6jsJUksGOgT/6vYC7gfaC2z4IfTc8P0eLg2OI7oUIv+g4gR5UM3YUCZfwTm
-tb+N7O0B/O2Y3ASEJh3RSwM7aSwT3ZnPwrhcuqvaq58EC0qSvvKXAFQfUX6MVjSf
-zkhQPzpWACFPD/HlBfIIUeThUJ+M34jJyJ2Yz8tfNhzbqvDrNzHtW4rlWbYfL0Tw
-0e0REU+ft2AzGClnymW0weH54i/K89bqYQd5xBhL+sUzOjTO6OW83Y+1uLLw8Prg
-tsTn42PmFx1ZZq1l31mN7ECBJx1u6Pmom1EvOPcTlbSmnxpK6zQHZWQwoDQP6tQU
-PMacc9MTKKgw43QpKfEzzAwzG2kLGmgS7zUHiKfidSXCJOGAAamzHN12tGMV7tjq
-WD1a7GaXQhzwVwxj98JUHiQ6OsVLkqh8Gf3LXl1YwMMSsAv2sJva633AjZnLBZXR
-fXO5OxBlejCg9K97P0vQfIbGwh7frFKGqDi6U/GTtnwTt5i4Np9oAaGQ3AYRAgUU
-wiVJRM+RuZ1veW5Jh95cKg+fKCWxJcszxqZvezcY4H2hON+7HkEPPBnXTJA6Vga0
-qIvtvjniT2WGonSa86jT8hZbo7sJlD/Y1E4PBtiepLqpKS4NStTSUM8BFfWIfrXt
-rx16DRKo13opGoc/7votZ/mkjDGTd1dmrSoYcrQO5y65xIveOWkpV/Fl+HVk+0RI
-m9j9fZWyEdlkHHddi82UFodFP0fH2Gbrskm4dbukjN0cJyhq9YZItWx19ol0AJfL
-fe9bnvHIlP2SRckTu74Pg/5k7VyY1gNP0U1CvWKdweFc81bTRzRBtm2aTsmGI/fn
-GoS1p0DSsl925fga/p7LHVCzbyCp+HZIPWqYj//FrCOLdks6Eruuyc8q1OOUVGXX
-IYMoSEwN8D+Xo/U1w8sK4MCFeWLRd111LfKrZnz307WOQGS5f7Sy5RGgLPhpAyo9
-gkUKWY1OIWdVSg1PjeL78XIavmUoqyIMAkKGfBCFIhMneerUFgLKTOJgaencz8c9
-j6g9lOulIHgE9q5A8O8fsmAPtGnNdQKn7iAilBq4q02v4DPSX8ZHzDm5Afxk5etP
-rZvosZTrypyaFU84dNc0j2lNtqexd5u6NdpkCH+xjLZwMaSXg3LhiFVjioqj9Ueo
-RxwTwtXCWyjpoaFwVRWZUiRTPJz2WNZsrJRJeyyi5Mz/DjRWenljsXsmfg10zIxS
-X7H3mad9aeZtWHJ5g/NtUUlv0mp/e5h++cnB0CyKM61K0OcMOeeYuO/GyJb+MiRE
-0LwxzzMPeUqSwgnrUBkk/aT7ueD4N357Yi3386IMfWgCDQkjS8hSTHZlhSK2+sjs
-CRja4dODpmeVY6VlphwSB2BJQZwNCZfDTGtH2Pj6i1iWu/l2XX4n9SOTZkVUfrru
-8g2ORNpdbR0V4BlKzb2a4bCP2gHbZHMxwhJAvwj69LNOrFxjCJsJVrfDYTB89uz4
-HrQBzHLUof0fYP5hrAUwWlb5RKLNsHip5eglSYy53Xsf6DJq4klILNYCR7jbGJwb
-WVEnGcT4B7/k3X00lPnlPktPtGW0hjSy7ZoAHmIAjXZZHVn2MeWtbyCZ8vVr2XB0
-BxNzJXouQeKUN38i7pRlk6sOKcXPsjaX8Toei7etp+p4IrciPQS5SwG37QH7NQIQ
-mXH6A0j5pr4C53wttqnLzkzReznmhoNoA9VtXg6mrb0BMhoUPClHGpb9WXDbYm1O
-nwH7suSZ+kZYKgAlQdN2vWSeSmpDdi2eqDjHjjMYd4iEVAJSEdQDi7AZAGb8+nAW
-vPhalC5rFES2TfhJfRrsu8Fcu/7CsVYje8IwCtrS4Jz7iyKGr/f3dgk42GxsGx8t
-Rb5Op/29Dv49K/WCtIOiL1KNK2bDASoYa9hKJ2D07NbPr5mwGSZUEQdwXbL08STH
-WVfkfWa+UuSILN5dDKhiNOCN0u/mFSfjs6A0vZzBag0RyFabZNuQvskgOqY6IPsp
-RkVtW5xf1KAAszGfKMoQFcBcW5WIsndOWyP5qD5O7zCQ/EJdVFW38rayT4IOUpwn
-wDTSNickfvj1oXQBEDnl0sy3w7M5x85n3LZTneWIJ++oBHHBH5qaD0iZP3Y+hBSn
-NaiIE8ihRdukmg5pFvubApVD2QspX/H44+8dY8rVKRmh4XebZV02iyg95l4tnDMo
-vVBLiyeRIy+cHsu0U46IguklISuZGBcDugyegSPoZ/BxDDS9YF37ISw7KFBt/o5T
-g1Bpw7o0nwvMALFPUdJ5bGnsTQ1SPLj/q8e4L/qBs7dIYQMYz93xnwPn1YRj1HFB
-NVXg+ba8hOwtFOJ+dySbzrksR8PSDe1kFkWMJ1LA0ZaRHNVVQD5q9HBDdC3elUDL
-MZpEplW586l7dOm/crUbcRxlHn2wAQlhcX60riiQONkNuBlKHYD2yK29/TE/rxXd
-iW7HiBxSZVyp1RoGhdUL8yFdrZMMyZmf3y4shTCtAuA0n6W7ZxX3g3OpzsAsraBI
-eixRvvqo0tI8SEVVQMF3tfMYskwCU+xpd2NOdohnzQPoYFx0tSi8l5Qg5NOJZ9Qb
-AW5W22JYmXMxYlAvVQX4CrwIxm3RP83dYQc3F+Z972sThE2JmUN532PJJHpoxxIp
-Jau18TrBu5+d3Vh1weTIpkr7LrlvUmzl18gp7hRZohAJgUkE46sF/5y/kBFcutXK
-fhWiO796nHCl8Yh3+bOrls1jP0jRA4MfGwFA+e/bhFJlYjky9YWVZnjvH6iOoBSc
-AqCEOuW63euZyI8Q1F3/u+uqQ3M/GaDS6eEv8nu7TQCx7Pf1f7MS7qvHEKWwi+us
-BDU6Q4h9uNy09zEvyENS3jyenFHa81EcbVoUVuQn1itWb0kuY0oSkAUqVnB12MxD
-+E0W+zPJm4DCAbjYD1AgZyhY2gQ+8jESEeoMqDg5RoePp6NFpm+eP46XcDpQ/qYl
-RwgYr6KZli4Z5W4248NFNbc51sgO3LfjXDo1q8NBYDLu6ey4SSADGL9LFbM5AySc
-k5UZarSj5Ya+F40Ub00pz+6CXR01iYz/8Bt5ns4Bj2tR+1Kv3WekLLE+ipl9ki4H
-bXm00mt5qBrLicRPuUNc1mW3fCtKhPyWNPgSHq3zTtDy7n7LL3eG7g4kZkjpuzYk
-b+zDwL/YGnu+FF36SxYLQKsQUainrXz44WWKsSQZJ1CoL8lGKEPzlQnimbjffDwP
-tSAQLpWChT+SivmoV3rrOkDyvl/x3AoLyzxjiVTo0LIYMQ2F6xA0Ntr+KuOZ+ORP
-dJSsozCGtRB8kUnGXPW9yvzfrbviiwvOHZfQ5lCCYDmiiHDc9FYCzaKhQ7YKbEMU
-bnC5uBQA9C02OJwLhyZMcSeiWjt+ibZwEGFzfAAejNKtp/Aqt4SHn7PBTUSqbzKF
-ilW2yLoQckeEu+A1287t6h1xLLzWtzVzBS0+N4opBEl5PmXkk+BSn0kN93oyetJy
-qVEdOBIJCPdbqiOFSZGZPnCS6lI6u0qTgSC0qs2IBdlvYXC1JtUtAxtY5xATYVhN
-54KyKNmZecjw9tPKYd+94PDmPgxkGHMQMV6AGCO/C8XkUbqVjnpnwV5ISVHaN6ou
-BXiQQylkcIjvi8003xTv+dDYMa3/JlxMhKKrKBRy2V2NMBXEMVS/HW5b2KD0ypp4
-NHSzEH92zjQZJibzq9wFwUD26fy1NN8brVNCbtF/XcTAXd0ENxg+/R3V+jeYFyX/
-qD5/ALFDvkbp1L0wVg4M6XWnyYnjya0JdxyCiJAHJqkpALK4Lk0/hW/1ULEfZCes
-gCq6htfFETEyRUUSuv6damb5rfPI6iGL6AD2bxPiu7tGDxnC7WvYfgnN4D2VgP1x
-sPVNamlXImDY5m7J3wbiErdv8zBV9+4jlxUQ+Z1ywS21Mq4APvTw0Wwt/qu2wwDL
-NjKEE2zKnQUFWt7AK2NHmyuf3Djsl5K5QMutlyRxMDUs6JRUlX5BUEVobxOr0/Im
-pXdUcGBIOZB7xe/RgJQICO6/1ocJjOSVXFX5osWwFZKOioRS0mHJlRY9UR+im1X0
-Z5ytkYWiPtLscz2Fcsh7m0ERQoBIC8sTMBbWyh6rhUB7L4608N8R/7lqUG1jOEfL
-GfLbaId0uQoPaEBY3W0Zy33RYJZffJRJWDzaLfSSo/r6yJYIsPvBSyEwE31kbXZ1
-rsy5cqRXqwMZ4yXdo/mWuOtwn4rSwTJYiy5vfpix/kX9rJlZqMyrBnGvN2Re/mtc
-NDtNgkIt8sK2+Cssw+0NlmG+GR+isRiJMHptQC0WOnxgq1IS+QCyWpKxnFjUI0XT
-HZr7xlGFKKa28qkiPsDMuENjHWaEEAOQLsOXztWKgrkvFTDBbm4hjUaJUkjpdYxy
-psDl8YOstvjIzkJZo5I+1XSqqUu3TNb0O28/bPK6qZl5Y7V4nxmNy+O9Bf8KgRpS
-/7YZqZGQyWTs9I0D2DaeZR/0EuUxl5ViJnB5YRu8Y3g4Q92QA/yupQFtwskzUcJB
-eT/Z5LRrMT6YHPrOJMSkLTqZTcndM0HbngdI/Fseabb5Xixq6NB7XaMRGoVabNOp
-JLQvlw4KwnSZqVMr+xBNIC6w7d92MtSjNOno+0cL7F07YixoYdESWTd75Z3GzELx
-Ev0HYNFBm8A17rzNjiHPgMyf09AIDlv+K8R1kzt+I00w9mhTQPMPFlzGrjMo8PZ4
-ZgVFoR7eRJEHqlRYP6h+jr8MK6un1fqu9i8Nxz8H4HLbr3J9VEJ7G1oeulW39e4H
-JK3KIHvmKVQ6aMcfI3dIwhMcuf7aqj/VNLj6jAQMH/fkNjKDU9AMpIuDd/gm3VFv
-CSLxD6JVza3WwgCtsnzJMt6DGrj5sTzW0lQh1uXN/Jll9RWs78Yj18cdprSywytx
-w1ay3XyVSO3+6D9KwmSjq1J2+oCuVQowmoAN5MTQ1JZ4YYPxWSnEmjIVWDrdNcLr
-S0rPIEaUXivItxMQrghaDsl8Eg3FvEXt9zivwy40hIPfYwi7X3dLfQ/ANn2H4bVP
-crNlKp3g8AOgAvTmKjklIMP16/zsLMHU5HvN05+7EkZMhWj04AIuUgsb3PJbTuZt
-n+WTD04hTWjiyJ+mkuTlp5hV81OO7py330Askw0xxfqCrZN7f68cpzvizbDVbLHT
-iOZE1nX7IRZGxDbzN+PY6X5kbwAkf2aJ7PBe6e0uWg4VtiiXTW0TI/xdkVeE0Kz+
-ghkMCmPH+odPgoHsCJRH3DwlaUN5FaiPvREdGIm7inkUR0op7UccvMKz7KtBtNln
-SWtRz9cc3nz2lP7hVI5v1wVlN27xYNhOWge7Pk4XQ2FBmem8Z7mWxXQjJaHROnY+
-Eg5w3YYpWJqF122nJEJttZLhFIuBf+o1pQW0BYmdE+0RqDzbjtf5oAFz0fQSea55
-qtNSMdsijTkdsU//UiHa1u6JWVCFjsoRUG11aWKcixdVqOAAHVdHTZ8TcZnw4mv5
-u2E+2r/JxWBi6hhPMHKj6irIW02dkSnITBRnNGCUxZTs/qbN/kDx3OoVb2+PrFwu
-PNM6FbBeFlSNRpj+nl553N5H5aTe5x7gwby2ESkFA78HWS+KV3tTTyLcdSqBPu/5
-dhapnSTKRyhxRDu6fjn2jliSkZ2n8fSXUl2U+jrmzBErL2WWBeWkD0Q0gaJ3ZK9s
-jy9hpYlXJ1pYPo8+Ap3naHJ937obZ1NMs3NJohp8m18jqxFnVFnxFPoEcy6hk9Oi
-mXCtbTDhf9ji0fVQgjOxvQjCkmNDhfNoTuItYUX6mGdqVVd8BYiX7CE6W5s0BjhY
-4ed644JCRxfVJDOncFc9wsLfQTjgyVSF23ITMncb87/VvqZC4ADAdHvgHiPzMIRv
-SjTY5LJMD+KiFmGc6Nv5r6jlg+odwQjOijE7arpLFTFB7wN72D+v2jMyMQgg9+QT
-tyPfk4BPW9XR/Sj2cjmOKvUv+8M1MPrDu5WtjdGbRJfIwJsYuEOToNlOEdvd4X9m
-aiusJ79XUYxAJZgeTcWQOimBZT1fPHUVAnTeODgDB3i53NQyGSTr2BFQcUnx1pAN
-h8sKQRxSf3qZSikEpAJdadqxfp9/d/zvlOhqRLJ6azv9iFDD1KecXiqidZZI6LqT
-b6SBdipCsXE16zgOHNkZKERwNwoI66m2bsjXB7hOQvYVgzwNytCJjnKr7yTdz7lo
-7wUdzgM4KMY6Yip7TRFnZZOPCd4CwP9/JDpmL8cT7PQY0qOlOREoNLdyJx1MiRgK
-K0Tzb7qEU2Rik88PsrTiRQw5pjp5xLgqxfNBiJeGXrReOYqkqQrEvEjUMxDNaiZF
-tJK+KAlqpHX7ONJpyQ1bMDrWJEAKK2ia6rfoo7KZkTE5Hn4zZ9Bg+o4QehIZxCJV
-R+v4uQrVsK1m+zDEXp0MEuBnGCuKteADIRFSVM8TqPztKT1NNDVNPAC6Aei71Yjm
-z89qvSq2Dn94uO4BZtG1BzIcdspiNVyIaJQnJTO5JaqYP+7tFUCAy6OMZ8Lntn8v
-VAMlllQ822479GmGhqnWZVbnLhrHu/jbdwpzl42TILK+669ZkxkUct7I04FR5G8X
-HfyxTgWrSNCEAyXA2AAnlGAMnABbEXu7ll0r7TiQtCHtsgqyGQPmQAiymUR+MQDn
-IfTCca3MuuufnEWsZ0IbKfBqnHd7miUUXEVsgiAna9359DWdhPD6DrRFyJtBEqzY
-ZqMlomz9bmnzU/cpxPYrcTgPrRA9k/jFOfKrhuvn2wn6XHFbFeBGTOP8Q6ITF3N/
-Ox+b+dlsOag4aPIuDj2EU1b3j0tI2XxaTIW1H5UxqBWtEuarJilf1CknU66/3V89
-qStQxbM2siwAVXfu7SH94QSlNcb56rdLrUndgUWf7qCLJwq7TSZ0zavl2FJ8xKMu
-ogEI0Yr4+kmD86Lnp/FPkDhBlEv6QjRhC0RWZ9yrl5rgWi7lkZJ1T/gxXKAj5RwA
-cWIbOqLQvQBMPPQje7QCWGHRkm6u7rd4lAE7ZsjluwvlcaW25H0J03m61qHjQGZX
-AVxQX1QGiHiU1ICaptNGYGFQcOzpNbz9f3ORyWQiI9tkwtohAH15tdS4Fn2sQcZX
-On9b3JSV7de8rlWsWG7rPAXhcWgWzs2YaL0/AU04IxXQD11UaqWkqgiZcCEpHrEI
-mFSgYmnXQOBYVx03rTamfCtSChHfrutZlG8hVTjfDbHwfTSVGnHNvOKGSWszOVLN
-fj1IpvAPjylPTaR2veVCKfIWxoLeCSbnZ77oXeb/A6XbxzeRDbPIrA8VB+fslmkt
-cXizIWfY1X8qqdckdBjVVc3ttCW3TjSXz/lbZjrOPFDdLTxLyXEmobwa8TFKLcGM
-yuGTpOninGOTYYWLxenJZOfoAflzmzFKCW8VQzKZ2J122enHD/6hXhNdq8L92dtU
-ssEQwsfbzF5NmaQEA/v/k6W5lWZWTg9ESBJJhOfWpygBcolZowt5M0E5cSaaHWv9
-m8YJ8j+X5fvY7YjdwL8s2xkRbp7OcfDhdYSy4H8a26DmUWCWP2TV+mghYo42etWF
-BB6GkWH/Wqwitwml+KnlF/ec2I5hS/Bxd7enN2MLzBq67NtnqQZ8mSHtqZqOcZFA
-umpzauE1/0aNmCXuoMr8o1s2cNfV5R9i0O32Y2sphBLFN1Z9DPxz34Ammm3FWK44
-cyrDxdQtyFXHn7ELSttpB8KBTrvIhzDsTpJsNaTXbilJ7FX76lP6/u6TmwJk4H00
-+CY7vQpOV2o9ONnsFsH1qpMrH8sS1o1SKByO+hjWquql72nHNZ1RuxxA4XmbM523
-dbBelG7UUG8DlTCORH2K6rYbNkf/LdGHn4tP1HTRrNpIUCByo7KKvQerxPHs1hmP
-7iSXeC6eraW+iMYztKEsA1U/0dm3+V5Z3QR5HURj9ZSsKfmTn6Dhmcayuy23yscc
-masU27gQDF0I4Wiu6WtbSGNeoS30yZXOumg9wgToYBNr7lkdsJcNpSiBvtyNyHaC
-ypSMnYTDAUfHNCNA9qb4OiXjaCL8sXFpPaCSrQx9Y+YSsmepb184MvGTzs/1Phto
-S20x3rG9WM3tMLs4i/0TXzznORvz29zsoK89ByAeMzOKFL4qm5ekFmUO34gAzpiO
-VDvn6jzM52qDj6pwi92H4yhHqLJRS5VvjFnldpwVFs8Hn7BybxMzZVjFekKbzYy5
-L2PoBLL5+o8YyNsl3hvJAjK4jiCrkc7DxXufZ5QdHJPOUUY0ZkAJcg3NkRsE3oNb
-x3bufE9nqIjm2pcweBDzyiGnAWEBKZwvFvqNnUasymX8tjTLlij2VTrykych1aFo
-alutnLwHxm2wdWtpweUndHYA7lxdIRKUaqyAa8q2DdbQflf9paF+9QE0PF+nT7hB
-kENEML3H/tKc3ivaNe7V7EpUdoZur7W8ChPX6/82TO8mz0CG80mr0nwZLAAP3xO2
-NvEIz6FPUDI2xba2OKMAFz9fkYsa+OWjyKB2tkxT8brMwxLBN9XBE/yG8iOgLh94
-wC/GWOsSk5VsA9D/I/IVtzu9kT0vpCSKNJqC86AVT4V6AmvOyn7rDN+TEbd/SRYM
-TPG9DZaQgCAOE8nuO0XYTexe1b6yKGVQy4lxb9D2OJ2hn+4HLRSJf6sN7TosDs+H
-uOCPrVWYh1wzoRayaTTRHJ1CXWVCOthxRTqwGXU9nE7eRSLrGI67PHbhCGjwd1s5
-1DftFQbCRHIt2UarJ8afIRFBspdoLoamma0P4RO57DYSsSbzI1D1w79+dduS2WeV
-GwePPEkZeLrlJLBrAJzXNxKGVjoa4Sgxh1yhgRzmDOz18qlPR9mTsSkXEjYuDJAn
-dsVQWj5+fOGwPMSER5tsK2ZPb2LdiDYoA9Luvc6qZ1i58DD3ZKQv5AARoEOE/Hqt
-tErB6rvlRZNTXPutkfrNoRSCjPS3f4Rhxsor0lSp7sPz6DR2BANjkenG4GVLXVf7
-s0i0e7OE+t4dSJS92eVLHa2+VdKvxcFJjYZxZb0J/5dxNQiyzZmrDt3ZJT2zN85g
-1ENMHdPKrrBm9m+fWLvVncY9N/tISj99JK1qYPEjlKas0AMCA/9c14BB3xMcPqRb
-9zyJjVat/nbz/OEcDToXLi8dy0S0kWBlhK+9OAzc+Yj9ndGjetzaRGIFvi7hZtRE
-2egNHsim7T3zipW20a69Wydn6xgOgQsxHa8jjrcAHDuUNUHyMXn3a+HhlbHO3Z3h
-Thhkmw5gwgXXoUrbL+4cmvZuP43yMyy8D0vquPrqrC5KRVfkws/qQzlzefgn5310
-1eOvleRymUZnyLzQkd2WT9mQTcY8tXxPGQXRy3tyKjMCc5Z9l/vXDJ57K1q/yIpa
-HXcRIxfDAWqSmvQglBzeXFazugAQj5J7IypNZMiNnmWOyXTDUPxyQU7sk+LLlJn4
-grMzHyuo5auWwSCoSjw53kLUNwe0S5PKj5AFA3kn1oOe0YU3VB+MOFqSzPE0hYDO
-WpQgWcEu9U9ihlShLWjcAZoUILZlHEKPymcclio6KKLR7juxdjPOzlX36Gsk8ht2
-bZPEwemRKM8Tv6ubEJhdh9wojRB54lH5jSsqp7itKCxDn/lwctUhHykaLJnt+bQc
-SmZnHuEODYrnRfF2q8SREZEd7o7WmMCoqudcYH9VjeZkCCZ8yembQOCjNozCA92A
-OiEMfg8RQu7xW7FQYtrDPm0hh89HeyNssHPZOg2XIwUyZ0yVPUZ5JrOpPeivZJp3
-cRB3U0NgYPepzbLg8Qc4OaTQ+YVFsxUFbohHKsjH6PY3sWekEROiRVQ1Oo5qFuzO
-ZsBsGVGSqiCm4NM2gkGHEk3zCQ4njQQ97+lT97o2RFTN9CKRUHHsAlRKg8ofHQKL
-hlk9AHKdhn7245K0mfrdJOjziFcF3m/ndyBwc3pdmZbV9i9xcHUsoqwMpsVyjwLH
-gG39u+qLDEeeUY3MCkr1wMXw1OweMXEY9uRHyySOfB9kco0Ce9kHoKmkUDDa5J35
-7PKsL5KX2o2Ly4ptkbTUApGdTEwQZLUaEMzGqI4D/r/NGsrY2gAKkoiM1JvaHVoT
-e7YqA+8P11BXUqTbwr8hy8L3s2Rt13XudEEvxvsmTZXacft7PkrizMBOGduoHBT1
-MIMT6Fo5atgyZ+EZgNMEZqH90g0eNDOpM9I2hGotTnNsR8ybv4Mj+y5TzSMoeR9s
-TR1Twu+XDrTncMaICv/9TsR5FBuqIASOjXuQtHuq8bv0GCaVB5sUIEvkx5zll5ay
-z+xkfMTtRlySmSyAEfNJkgqmZhiiUkzea97LQkdfem5otb16MlkGbCOUXKMCffwc
-QRcQPdqVvJzDY6vFCMue0TifOJ+zWAm4TWffFPAh0rzFtAol2g9qY1KOCf/Bi7x+
-tNDLM8gqzJPBqvzOv8eZ6cLutD/v/FfGOmWv2cUEBVMkloQHxhCnp3KxJ6e+xLDL
-FOHlAN8dcvVWbSZvelMAGtP4PNzxO2H0H66pMta1b8WyEj3dd32Tn9t5EELsxjJY
-wGFoGRF9dn8In+Oxy4GJ1YmRpk5VgMj0YfqcFHad/xi2O8kWsP237dIVy6MZ+iRw
-43B4rSiP9oaqwmbwhgvTFj+JvWGEETzmcuhsP3KgG7mZ0+eCCP1kyf7QQfTSGlMe
-R99l6wiuuG16bJrxQkGS0ZsIjOFHZkbuVX5HeJecI4mNlxrlU21JqlFJJuR2a3tr
-V1uTvIUbmSbWoEuWR3RAt1+XV1a9lzjcAEGkgAFeNhviok2A3w2wYd5I/Lx6aXEN
-MUROiBYJ3kPeEjtZcPPI8wf0IsiqrLT2/izQqUfRysiS9FWlaH++xigoYAZC/AFM
-9yM9aYdtADsL0UNgdwY1QsXUrLHbykhT/d0vzZcvAY58WelgCZbyFcic9B6USfcw
-Da0HD4qhU67A/aDPizLSLzBGQX4HmaGUe27vJuT36a1Rb+rYqraKLynl3n/CLYMG
-vw8BTsLNyo1XVtQ3bpsQ8JLfMt05tyiTOOci4JG2tlhqkiQmoIV9vgFslw8rrRHU
-DRFfSHizW+xkexxiA7wZ9rJswRhPTxZln2pqDb7g0JbOf8zZCJDjA3WcyLIOlyZV
-jXJpcXxUyL+HNmFhszKEcHz0oYutXUDYcB3ALbhaueWSU/NlK9kWkTafa8HtyAmU
-+T55Z2SD9/LB5IPcXFSUKwewCtTYOGyLfR1OUA2cHJPRH6AB1RMkf769llDmtyy3
-SYoV0huibg9ovrFA6K46zfIM/44EEt1N/E5//NYklHVAwUaIHnxThEye2MnKVflK
-AhUgOtTWNAFY1SLX8gNqOzdizZR97ULLD4+B1saQGFH53bX5sCMCwDVoiRGQ762e
-JnZMvTWhllLt6i/hD73G8uGQYaWmqWrHK0pY+ILMF2eyHm+sWxHyZ54Zmy6Sg9Iz
-3XbHAWg2IF9G+8VLDpP+MCv4Ey1wEq8h4A/HcKEdsXgtb87F8zswTM9JHLI1s8/5
-o766ZYBOD4Vd8CkH4I+euMNrUUhnTVK7L/JMGrQuGC10TpRjM66zkHNmmGATeqDC
-hELziOgJ5bJUKuEXQDMmXvhuY6e2+roH9OGJo7R6gWMYZmtl7q5xUpKO7oNhHs8Q
-/KDoaAImV9U6BvNreo7dbygaqXY4xQ8Jqp+8wTdl/rwVw7glkb1J+GcpuChN/S6v
-UutC4a2bLU24R3Dm0xtjIOZYTi7nOfQqPShAXqyqiStcuIoObbhoAdcwVaCJsMob
-Ot/IxR7ee5mbUYg9dCuTkkCIhDjzcgr196ux617Vk47aht6CLyIxeI2IfO4m/MUm
-30xuBxKvvtfL9xAWhZTZUBfyPKQlPS8/oWJHaymrT1bg2fIZFmJ9sWZULltFw2c6
-bvunHWfRtXfqJVTp/yA51Jf3aRAZlglG9ILI3WkeCF/t8Wj1U1xq5ChMwBpblahe
-nril4ZLPyN315Uer250UPWdabOcMMDRhFvKr28YBKIetVNxAPvlr+2Sg4OA8G1Ai
-/V+G4/YIqwJcwuuNQratqb1KQifrGhbWLw7xvQYQ3OxVJ/3nJrlfacBbZroIcX2Q
-GiA3L7Gxc554W+O7e/mVGJ/S7Bu9oxoEZTIsGQvltwOpjUrKpy7CgKfZTYZ2kcXu
-++0sZn5N0jja1qkvtuBM7WlG9ez5VeUbKFZymBl/thgh/ftVx19RATT5dKAIu0hj
-7E9YI2K6KqoYvIROmLjQIeWYDVHqxAAl86zHY0eDhLY4+S62kAmq3CxJrZbpSEIT
-PD5RB6xw8P6YLS78ksLfo+nwSUNNfqhOl+nNnGAja11aZoAa+Z17i0dZvAOZBJ4i
-BsFF7P7++FMxCej72UVAI03AtMcVuMJeDsJyWDsiE27g97Sz5hes9EbFnxd90m7+
-1aNbLaFS12cangjgl0kcnXZH7NVtQxy2MppJTnhqbScTetZdZvyXP+hV8TDHbOal
-Lg1X3uTtUthOus/zz0UQ275aoFfJgmHz17ulzmJcIXiHXWErej2HWYRwZrk/Jfil
-d3jo7yB7fFOQsXrXr21144S7Bq1WQyQqOpJbo22oPRzx8hpAdGLXKDfOPe3UFWQ2
-OVGlAIoZ/N7eOxw+Kfuvd7UisVEQWW7x5N3VbEAFGv7ONrJfb162Kr9htDQJoIx6
-zIGlyLoJBNHy6B7vchKNR304CRByvBLtKiRn3RRPanUxevRkEi8Shjsf+iYpOCY4
-IhRyRi7MdEyr3gzUhu3NAROfPWCuxxZbJLg4GZSnrC8LpooEpY5tKPnGn7xmciqj
-ACRb7RYZBihumwSKe/U1U/NZEWCh5oTpKnXt4lml1FCnJRgiCY1nGC6LK8XnSbKX
-gEs948af8BSTAVBhAqPdSyZAAuh2A3ZBAjFnTtB0WRZ28wD/R/WTYYy4339zEjRV
-qCCnNutSYqA6xFv64XKcm8RrdqpyySpadiwx94BEBAiay5QI9x9TftLxWHJO223x
-LwF1CYq0iZuk1F4uugfAmI7Z+JSDa7CDmhl+TA+DdyIN5XoySeIQz3Kou1sMWML5
-cZH5Yt+YJmcNpy+oRj6CNPIBokwsOTH33c+hqgbziA8h3xTfFSNBH1N2AgpGLAGJ
-V5KVry4BIA9PLv2w8J9wt2ioP/QK1dx+MZPvbtwXMa2bevZqjtex8Mi/tMTnCdfw
-sqt3/tdjbRKfdoQZ3Vt4jUyjuEXKukj2XngcKgDi2Yvc4DNeBwp82/oIbmaSshMC
-zIjKUrEVeHSlyf2lnYM8JUTDuXGzNIUNyTvR+0dNte2vQElzhRf+huKTFDuHwBGw
-k/FKZ55TzYahDjD0aTQ6ff1NUnwFcSoEQxvMJcmOaywiiEm7YWvZw0+HyGD2UE0Z
-ALypnPAwrPrNqI83Y+3J4QOv2BJkf2KSEWSG4AsA4JNk8/OSYu4OS5ZOANyFHL2X
-3bLF1FABiSDUWYF6i+N5alAptbZfhYYFrbgGIMoYQhoxgeAmmV3IHqCEogTqe22S
-vZRS57TZ1vkeqF9Mv2r1rpwNtVzJJxYgAu2Lrm7aiaJC2VgALdEF8umnoLnTMItq
-KBaYiGGlFjNKER7aFlpIigxlCnCT++fKymkE7WcXHyaWpiyo8g4n+2qGe5+UXp0t
-pTCuP7HmelxLOOKstAHY+0rx7TBKeIpY0ZHY+xdfhR//CKAT8Qbj1IOOBbmDSS+N
-n0js8I562e7otW2JeojVYeCeUVs3hBrT0+FnrG+1ChlC0vIaB4NX1FpYRuk37Q9S
-H0YVvCK0COxiT6W0flTzHbNBEGiwXwfiN02rpYKVfzEuuG0zFbOPojH4zcoA4ux3
-DQp3kIkryYfuxcJjjAZLs4A4m8tHFbVi1XJvWJkfZw2UeJnldP0Tyjga4yW4/wDi
-E45uohyC3cfGe5I084cUUNDAsHxnRsleDA3YZY89qJ+6OtporTCEYDT5XJAZnksd
-ExJkJgwCs40vc//MBMvRQ9Kr4yNXFgtKhgd3w/PfRj7iQ5kQUdUKabQ7ysv5TR/X
-9zcUGp3EaAjBOdIpogSu66UUlLkuuFaHVKMQe97S8hFW75oOosFf91+l/hsk495G
-b90qeeOm+fW3FFiebEgjTqERfw1MgDGduh4LPt9LNp550ZKyP89r6+DJAPN2hvcZ
-1SlNr7PaEFpiiH+p+BFRJ3jIw026PRsZiw2+CKi3zxoAzzlY74kT+r4VqdUg1Fhn
-ASI940Js/Ttf5E+vHtpQ6XZOTqaNzq83hTqWG8TwRAqThwCVymDaZ72aAwuERFoR
-vLAdaqJLVUhz5qdQrAI4zZ4di3DhENeEn4q4zx1emeLyi47jr7YEgybrMWr5UFWs
-uIVFIHwGvnkNMscLF3JzpCftGfqNJlFDbdepbQM/e1YEIiMZodHnK+Qs9SZBnlQG
-Mjl7y7kYxnN27FX5KY3yjHaCVdRwO7DQDbp8uSBv4Tuf0/SbTAqeu8STqn0C+GwH
-M4dEwlkkkRVzghNV+Kui24ZHUm3I0xmLsRQlP6T6MslIoG6tVYJh/UzNK546TiuG
-BGM/YwQG0soB1fPAr4UsiUqFs0uz7+0PgVTzyRlmfP9T7HHEqQuwbVesQaAxpNdm
-XBX5OxnBzP+BKDX6kp/Yznck41sf0YhpH2NE2MRLRvjPAEl7KwT3FTj1d8jrn54o
-w93AMv9svEuAQL47r9lC4vt0zyxJqBBJPx6aTyXARG5Hfk4nVIdid66EoBZax0yY
-TOyBrAeXNzLlk8652NY7Up0DofNOKCW428CR9b52NFv37VmgUz72eh2Jz+ph7WTD
-mhNXwp3kQhIn9M0L4hptzJ27MJyUsDohTLxeeExLylfrVbKs39dFb3T6P9FeFZLP
-J/1QeXuczm6+iULMp4J3fF6yVM8hJweRJVodmnv2RKT/Zozw2AcxaNG6W93VES3o
-0gBxBf20mkOcT0yvdmQe/nVRGtxzvkIODgPRN5JrYQj0zrX801dBxYRf2gBSSAuV
-qfITwQicYoOPuco5zjtCu+WcKQLOZhhz9VjLL5/LsDLadAmWQNihNA5vjhguJldk
-/2VD8+9nVgpKnmbHhG5RwfEb6UHWx4smDpfB0D+LkqxUbL5fezQSHGA6hy6Cophq
-Y2J7bQDz4T7U5e6pnwCf2jBEscpK/J7zX3V0j7DyUZM7l6tx+3YNZlWC5LxFZ4NG
-ltGL8rdqwFkR80RSD36Y0oK/hSLRG1PxnnUTObNWuRlaGtlKZjTUKuMoe/dFwsqI
-/7Fdtzuj3qQMiKApmVwGegxOzPDr3RkrnmdXias7UcZ1TP9mrfjnTDG5c5by/ymB
-JKIsBYzjQdenZ/B2xfQ5TBC12dIL1oFQ19PVMGmZVmLoD8oTX3Tw6v5+4TvEzoc2
-4nFNWqFArVgDdQen7lCq/NNwe7kRF1RwXLhCozTAXDFM+1MhvaPQaX5k1ipe2yco
-OhJtcTxGNIe8AFgSjVIcRKSD9s+2tDfhV1PoM2A9oLyTFIkwTpDRb8Bo/BX4iLfY
-eHdvoMg6dMu3W+WFdqxc7wo/B60AnhWe8/k/4onyw9xenndwbkhn7CUPnZIKeIsu
-HgXy68EB0V8sBaoiGtHV7YLZiFRX+IxHKpu+CQfwgwi88vHhghCjFh/OO7VKjSsN
-koxM8rGTBh+uv1WkbNPM5PHRCsbKg8CkHkCzcew64iI790qhDhHWaGICyv5XRmgs
-bQ53hwUrFi4aKFwApIAtsvjjLBvgYokyCMAdxYmvJ06fD5YdcNs36Fzo2cWoGfoR
-lS/WEsvBB6PyrnJeQDym41NyNwJofQhkcpaoWrmKUNfbxTijKG4N+06R6YBZyl/I
-d3rUqSysuW/gjiuj5dF6lVOJF9dqHidvBivzwKYHJlkwor0M+KelWgtUmkTOMbZi
-xlwyNoveTXZtr+yv3VbqWaiS3fz3JD0dGsm8Pg2OibLPi6nXkpHNKAmQwTz9za00
-AgOBu7iT8n5XUDluL3QYIHiXnbnaduN0UTOP39uEobF+w8yIusZ23yLoRyKGjr9N
-uW0tXkR3BX0B43VHFzrCBDPcoufaBkXP76ySeaPrhyKJSRJH4zxqEUpLGtkh84T+
-jhcqQuCO7WxtHh8eAVU2mgWdxKkZgv0uWQoaX6te3nUf3hh84kwSae1aMzoggrl1
-iI0yGtg9vzIGopF2MkSnO96i60Pzv8oHxetQZNQLH5IYtb9t3bwLv8oIwiSI88Gs
-Z+cRBt/sxtR0KpIGGMM6FI9zaQMKrDsqvukweU9dlx/L+oThWW9CBzjnklV451gg
-CeYmwof95cCC85+aYAYTrWjHsPBo91ARtTLjr6hAyyXrqBn6ZfkcDfcmyo4NwyCp
-e94ST4bdLtnV+p8qEx/SDck5l3n2abwQK/y06f0QqrQWGvq3Xw53MocJjCH1EpA+
-4WzNbW+ZKYWn1pYxqPpTQ7NQ3G8T4pdL9R2O5oBPfVWFLxSDE+7R8d/1xZaK+8ar
-aUBQzEoKahzuI332cPxhEZvYKzCN6ZPfqpvbnmjIyUSicMTFqYfox162tvza2lSZ
-z5a9IrRIZlhWqqqRaf9eqJPEo5PZlZBFd0ntB4/7WWSvRrmxGtwwBL1CJeqHzvw5
-0u4YFMnIj5EcUyrzuwRoSrUmBD6GKv3Ls0Av+y3rGDG2WQxoXNUMNDYbp0IxX/dC
-WYaunRsa/RCZQMslAalYazc6WS/jfDJbpfV67R5n2gdtdgTg+2U+txe9tUZIBp06
-1llHsUxQV1L7QAIvlGQtPxqstqojcQyIDBIurGiVmQTMJa/lrx0w2FIh2xO/pvL7
-gWozcFZd1hac6IJqOLLBwi2IzQi72XM3MKuDEl9zJXsu+hAruaVMOCswALOwVbHV
-2ssaTTvzX3dnJjkCJ2iuDorAcfoG4JtxP2Bwmm2bsGON5zwMnOeqoC/5kW0415oH
-LT5R7eEAzUFckJkW4VYS7JFqYurCQyE0ukhVMmLh5YerE3hSe7Gr7Vc1lFDZa2/e
-DRvjSLjaWaDYTqgpwOWNxAQVKLjl28nxMivVJesqiflT3cJ4+MqM6tbhhUEOic+4
-+N9GWjJ/DdsHv7ogTLMoxNrP4kgk7JJEbtfNPJk/mmz+JO+0p/p4a5OTeN0Tqfu4
-pn7iHRqjfUSeJEK/G8kSKr2F8fRiCo9m3OgO6Hb3Xg2KH7B15d9eUX8npqAcXzBH
-3q0lhPoBb2PXc8QaYddRPH+LDEx0PVoGfhzBH541o4B/jPMOWgFGwvyIolqM79i+
-2Ax/vt2u2qZmRiC01ik+dFBYHpp+sdNLf7VSdIt+XOu6rXos13sny0kBWA872nLd
-lARSSbWImtCLMxG0T+beIzviaVUCNi8rA2J/qcDTMDNXhU32Z3ryvX23pjFkzFIL
-QjBRSS3n2ufwP/R2ma6+qTUGouZuvEnOywO3lVf+h57Vq9YE4Tl+tTyiKOR650ik
-ec3v6zzGPTP0F16lIHwz0iCq6GxJVZDWeq9ay7wM8GFZ+tLY+poi93DB0l44SoMp
-maBappyoIknb6xESjHM4hy7po3d6z0Ptx9/P66CvZE4NdzzitRYIFvW4833jmPcK
-XfIOst1MmjkhmonszivOt5fU1Vxf7aw+JBoc7pVUq66R0xE1dDCFDT606JbIbdGK
-lRySktGDaEqTqjAQuQVVyTQGJsGycNV19eyC5JvrE9UeMXrFQAOOElf6jfVANaBk
-egSZBMiMNqDK9pL4t0Y1/Zn4b7Ye2UozJ/hTQdLHXK6OJ0Di5u76DKa/fs6fIfUU
-T/ol5yps+QT2pm+kqCR5KZJalWsc/CQzwyvbZZaJVzTcCpk/4yG+0aHKAPrIMBil
-CTijqi1KZTt4YEFwld+kwhmGxRHyikn4pKHD3bOIorgkXcr+WHHPSUBUEdXUtG2d
-cidJyPpQ7lXW/+XUvgDc75+CB++J1CxD4TLsgCb4xW27kUcnus9sZzGA6YiRbLlB
-p7PE+qK40E7DSm0mJaU8wuku88+qs5kjlDJx+5VuiTed6WTt664GJSkG6qeWyNCZ
-QejLA+LDsmCfHNkzX2Ztr8JldmzFl/C0ybLFrXVvPwAcR96YbMPTx5opnT+OCkav
-tQH+rizjdMN34LZHlLbM8+dF4P67nP0H6b9vrMVGvfyCg1uHbAMs94mOamuKJi9q
-IxAEJVb9zNXen0k26eNHE9RTF1IWYMMFdxjMy56lHEMjVHBdRAR+0ekEDxHtNW7o
-yTiqU4I51G5U364+Km/EPDE12UJbl5r4+jcKm2NKeMS4vx7UmiZnQ4yR2L0s1caF
-49DneO18m1/y5JI/zjpued8MNt6QdTjTNSBUiFU4/qNuKOrsTE3V+YU/bMH3fUEQ
-FtYGSvPc7ISQgepV44m/dm6yRkl2CuZ3jiw76B34/QhrntPmVNNeNURpKJgPrvyd
-1PX9o+m33GbquteITHQScKO7IcFc2FpGXF88y/yXm+R4Jg5LX0haJwDqSKvS9De7
-vfBPytHipsM8E9K67tAXaTcLYi1KrKbQM1et6YKtYDkrDHIFFag/gIrAIAUAfpi3
-+QSgh3f1GcEYr5i7ZISmXv9PeCohj6I+LCOOqn4ekhk6FGNkFdUPiAHxX712ePIN
-Ge/qRuzB7wfMkeu7x2MMzteQqLpgW95+333T72ZTQBtC3xk87BOEraIL/QzIcKXK
-pd7rohVdYyJFtm+HxUrVnUhVOfO/GotdBwHDCsDLco0TdZsb09zkVXgspSOuFx6B
-ShtSgsgCI0uBbWbKuxZ+VfuKZ0mYWNMWU7xWWQVoS6fot1quvelCAqYlAaFPM480
-qUPGctpJf/23ylaRfr8yUM2kMH0aXKDy+SP+/sbS2mUw9Pwnuqy5N6LLpLYCLS1V
-chcbYw+eoL/a2STDuEN4Ifsp9JZuEOWugKV8aU+FcZzXeDxBgCaWqBGf1YpFPbxY
-7TPDxJDB8jhmMoOmDOSHV1AGPXOd4PbZdjaU+XPG4dF7+5DwHywueCEPMtaDKPrh
-sViuIG9/vA1rNPBU6RbERZDbvqO10fF62sI9mxcWv2fjJKOsK6lKrqM8tIogjs7h
-1DAeUOqe+6b/JkJYJrxb85GLWOJgJladM27XEIN3HDEohX+QP5RLyGd21DT7nXuE
-ltEgXyX3a/5rKPLzTdYplBSi83RCQ7wZLr8UL4FSVxwk5LRk2QJOlTeLvurEC5eH
-k2+OKUcTvgrAg2sCFFJDqiazm+ABkGZQcVyQ24aUeZsDlFin6wQvN6EEtsO0/yKQ
-ndBkxeBXk8eYfT7EZP/7aJ5PuaTqPFXA4TQe+nysDtmSpRqMwNIvjQvZjh3CCB5O
-Tk4yscfGnSy96blKZU3KvmpOan4MFh6qbzLZ3VEmuGsexqA2CFSnI4jPAc6jw6Nw
-YPhuyftrRWgMRRyqqX9cPhPCIhorD74J48zsvDaFNf2VG6hc+LmJcH5TshdK6enA
-1v/pNedJ8JHAHMPv7rdOf9IbI8PRVrAm/8Aeqe6p2gdLfSHTR26szHJk8ZIE1NeN
-fC/WwaPoZMUVVkB66Xjd+sZYTX6yoDfCWjGBLgRwTFjN/nWzaeSTg6eG+1AZZm+k
-hUp3gZLGZ4hgO18G/uq8zGGrg3fszzs9BXUGBaZZWMVTdpVkl9qIiQkdqmjYJiYt
-SdxqnwPEUpS07ztUe3XO/+EyPnPaV5JFxN/QUm3jGZCTbihjnNMf72jnUiCao31P
-xIV8xky6dXDJ3aeGbYZlVOWfF2nvQ6ils65Nm63PSKLrRK8KWuR4z6jHwLKlYZW/
-mF8HlcDbpYfBw+XkGmFBiJ26vtbsApt1jCFMfxWSZjLwVzNbY9U1f/BqK9YhqhG5
-ZiORh+XIIbETohaGC+3JACPEqlEfOzgKuiUlpaVtRHiXbcZu0P0/Qd5si/P5HaOM
-oM/jPGAHlWfVYd8dhclhjAjJxlHjuLg9oCdZE20RRi1AwPKt4+f7y3/5PT79gHL0
-miS+42PbJ/UL1mWPG99YyrE6mZ7w5WMqNk3l13ylaFoTtBGrlW5L4Qb4qIgV9RxK
-o/HU7QlvXl49c/iWU4LWP39pG/DsSElQ+5Bw1vGULcU+ZX88cYIiZtJWch2IHJsB
-IzUxpudk7jcgfWy5dj2+xHg+R4psCnGfUYcR2I6gmQUGKZIfw7Gque10ZF8ofmRo
-j2+Leg16irkwn3Pf99zBIRH4TnCehddz0i2oQQqFyFMxUmoZDL+nqR0N204yJ6PB
-LkZ9caG5QGqjl/+NFGrrjPD37skKE3qHWitleMTPscQv1n9aYMD4u2X+f9K71GXb
-v+geHcxfJdy2EW4sKkLv8nJrVhQ7ISrkxj0ul5gwKoR4pH61wsVNmlhmE1doYMxq
-At/nRKf6ZmRVoDv9GxN8/AWmx3LctFZv14XeOjTdBVpskPJ1mw+Ej8SHNIODRBSZ
-V/SqHPleCMuy22wkGCkhdeOyDBpeflt8QStj2OlcY1KqhFo95NaXBgRmL8Fyy2dm
-KUcnGzPOaKxsUM9xxxMGfwW0ZN6+ys/huoYyIjyIjE8b/SQRxKMxv2oeRt74hpGd
-hPtw8iXXzFb1eQaqlSGqQAGZraBrKkOMfyZqVfb8xurN4oBsoytD6lv/HPg9Xyz+
-o/XzLtrhjEuexr+MaTjWMeVMkaHb/unWJ0ar76iQFrIznXTtLBRZn/haOpZifoUU
-vbdtRSf6YTlEE/WtDwNIij0/Za6BgliLJTB/vT8PfLHzJHlXNbsvNImdzOW7Hloj
-I7I54IvqyIbDtzWCoU2/9kKM0tQkJPdyyk5bfN1ZRQEbiK78UOL5yrwwGBapWG2+
-43tadAw5fycpd9Fm94Gv/p2rVl0vqSY/WmKA2B4UKKxHuYptTplQTy+jho4RyTdG
-+wM25VBwNPZLdv5xlWOqyZjjJiSdRUwKOmG1Vv7ZHpbhkmUKkCO8hjNB3NtoqOsF
-HpKEXVxmfzp05Eq2awrTNJGdpASwICzL5j98rzUVbYe3gMP6EnyGxKP28I2qvXD3
-dWmnaL1s9vtFB9QMdnNML1kSfMmNu8jX3M/GGqDYlizq//fkmWH+RPFof+AU8I4p
-c5W0cHEasUv8WBr6hZ1SQOt5SXwsR54ZN7Csq8QIjle5Dphi+IILN/DjLVjwf92N
-CUrRhOtes1lmv1Tr8b82bvmZCU5r3fHdbE3td0e/hLK+6XzrG2f3oZRlToo2LFAo
-5y4vJE73AodtGrQVIK7R2ncdR8IeV+Tk1JvsLF0xFVscf1XVIDqANWUEAR0fa6FI
-bwCMpaK6H7P6CmLKithyw0jtVOYQHT2OFcYMa2IX4kkpbm5mF2fIIDm2X5fkBz+k
-vEeHg44iw1THWGT/+UvPD6znRY2iNM3dkVhN7mov7C9czEcbNtghqLQwAbxjOV0q
-NoI/EnacYfbvS9dACx5WbhqVhwNULiZRjyDUSJCVcquZWj0UIPHXgjjgt5WMvXZA
-8+USBHgJn9zeFej4VrWq6wlsP72rIcIkMEQne29AMWVVMlXoedqiuVnGKMfiJgeg
-kfZazGa2ImKc2naC5uf2zuIpTaxao8+2bzzRhE746YeJaJvcIwoT1IgnGSw50dmk
-PRNxmRsjptrly3eygXO0CPayPUD8Ageox1GV+AQGT4122MGbpvH7rt4tPEwtUfAC
-pUAsQ/Yrs6nfJgBi+IAfgkUvJdBQcp+HoNhLcV+k9imfe9ea5Uo5KaWr+jDKIICa
-0GqC/1p5XbsVgokP2bST0LKKluUvKYv5nbQtS+Gd3ddleZGEbZIi10mILm36duZU
-5qCEVk1aqXsajE8RqgmiHkpBY5wudfQSqn/zqv3sNrhwQkgtynLcjpp56xJ8YOMo
-1JIjLuVI6iCsBbuj4C2g9Q==
-`pragma protect end_protected
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor_files.txt b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor_files.txt
deleted file mode 100644
index ce82c7d9dffcafb4c2ee05505cc50972adb6c597..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/mentor_files.txt
+++ /dev/null
@@ -1,4 +0,0 @@
-./mentor/altera_xcvr_functions.sv
-./mentor/alt_xcvr_resync.sv
-./mentor/altera_xcvr_reset_control.sv
-./mentor/alt_xcvr_reset_counter.sv
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/plain_files.txt b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/plain_files.txt
deleted file mode 100644
index d5fd5374d7dd576eefc57987f65074b7c2d15437..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/plain_files.txt
+++ /dev/null
@@ -1,4 +0,0 @@
-./altera_xcvr_functions.sv
-./alt_xcvr_resync.sv
-./altera_xcvr_reset_control.sv
-./alt_xcvr_reset_counter.sv
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/synopsys_files.txt b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/synopsys_files.txt
deleted file mode 100644
index d5fd5374d7dd576eefc57987f65074b7c2d15437..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/sim/synopsys_files.txt
+++ /dev/null
@@ -1,4 +0,0 @@
-./altera_xcvr_functions.sv
-./alt_xcvr_resync.sv
-./altera_xcvr_reset_control.sv
-./alt_xcvr_reset_counter.sv
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/alt_xcvr_reset_counter.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/alt_xcvr_reset_counter.sv
deleted file mode 100644
index 5aa5f28898de7812a836a38357ca16308984a4eb..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/alt_xcvr_reset_counter.sv
+++ /dev/null
@@ -1,127 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-
-//  File Name: alt_xcvr_reset_counter
-//
-//  Description:  
-//
-//    A simple counter targeted for a reset controller. The parameters specify the clock domain's
-//  clock frequency and the desired reset period specified in nanoseconds. The caller may specify
-//  the active level of the internal reset flop.
-//
-//    The async_req input signal is active high. The reset outputs
-//  will remain asserted while async_req is asserted and will not deassert
-//  until the specified reset period has expired.
-//    The sync_req input behaves similar to the async_req but is sampled
-//  synchronously with the clock.
-//    The "reset_or" input does not reset the counter but directly asserts the reset output flop.
-//  The reset outputs will remain asserted so long as "reset_or" is asserted.
-//
-//  Revision History: 
-//
-//  Special notes:
-//
-
-`timescale 1ns / 1ns
-
-module  alt_xcvr_reset_counter #(
-    parameter CLKS_PER_SEC  = 25000000, // Clock frequency in Hz
-    parameter RESET_PER_NS  = 1000000,  // Reset period in ns
-    parameter RESET_COUNT   = 0,        // Overrides RESET_PER_NS
-    parameter ACTIVE_LEVEL  = 1         //
-) (
-  input         clk,
-  input         async_req,  // asynchronous reset request (restart sequence)
-  input         sync_req,   // synchronous reset request
-  input         reset_or,   // auxilliary reset override (assert only)
-
-  output        reset,      // synchronous reset out
-  output        reset_n,    // negation of reset
-  output        reset_stat  // reset status (intended for control logic)
-);
-
-// Determine unrounded counter limit based on passed frequency
-localparam  [63:0] INITIAL_COUNT  = (CLKS_PER_SEC * RESET_PER_NS) / 1000000000;
-// Round counter limit up if needed
-localparam  [63:0] ROUND_COUNT    = (((INITIAL_COUNT * 1000000000) / CLKS_PER_SEC) < RESET_PER_NS)
-                            ? (INITIAL_COUNT + 1) : INITIAL_COUNT;
-// Use given counter limit if provided (RESET_COUNT), otherwise use calculated counter limit
-localparam  MAX_CNT = (RESET_COUNT == 0) ? ROUND_COUNT - 1 : RESET_COUNT - 1;
-localparam  CNT_WIDTH = altera_xcvr_functions::clogb2(MAX_CNT);
-// 1 bit wide active level
-localparam  LCL_ACTIVE_LEVEL  = (ACTIVE_LEVEL == 0) ? 1'b0 : 1'b1;
-
-// Counter signals
-reg [CNT_WIDTH-1:0] count = {CNT_WIDTH{1'b0}};
-wire                count_lim;
-
-// Internal reset signals
-(* dont_merge *) 
-reg   r_reset;      // Reset output register
-reg   r_reset_stat; // Reset status register
-wire  reset_cond;   // Condition for reset
-
-// Reset counter
-//***************************************************************************
-//**************************** Reset counter ********************************
-assign  count_lim = (count == MAX_CNT);
-always @(posedge clk or posedge async_req)
-  if(async_req)       count <= {CNT_WIDTH{1'b0}};
-  else if(sync_req)   count <= {CNT_WIDTH{1'b0}};
-  else if(~count_lim) count <= count + 1'b1;
-//************************** End Reset counter ******************************
-//***************************************************************************
-
-
-//***************************************************************************
-//********************** Internal reset register ****************************
-// The condition for a reset (other than asynchrnous reset) are:
-// 1 - Synchronous reset request
-// 2 - Timer has not expired.
-// 3 - Reset override is asserted
-assign  reset_cond  = (sync_req | ~count_lim | reset_or);
-
-// Reset register
-always @(posedge clk or posedge async_req)
-  if(async_req)     r_reset <= LCL_ACTIVE_LEVEL;
-  else              r_reset <= ~(reset_cond ^ LCL_ACTIVE_LEVEL);
-
-// External reset status generation. We create a status of the reset
-// output simply so we can allow the actual reset output to drive resets
-// with limited fanout to other logic. This status output is intended
-// to be used for reset control logic.
-assign  reset_stat  = r_reset_stat;
-always @(posedge clk or posedge async_req)
-  if(async_req)     r_reset_stat  <= 1'b1;
-  else              r_reset_stat  <= reset_cond;
-//******************** End Internal reset register **************************
-//***************************************************************************
-
-
-//***************************************************************************
-//*********************** Reset output generation ***************************
-// External reset generation
-generate if (ACTIVE_LEVEL == 0) begin : g_active_low_resets
-  assign  reset   = ~r_reset;
-  assign  reset_n = r_reset;
-end else begin : g_active_high_resets
-  assign  reset   = r_reset;
-  assign  reset_n = ~r_reset;
-end
-endgenerate
-//********************* End Reset output generation *************************
-//***************************************************************************
-
-endmodule
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/alt_xcvr_resync.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/alt_xcvr_resync.sv
deleted file mode 100644
index fc1d59ea2b5468aebebdf2d01a16a52d1f88b804..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/alt_xcvr_resync.sv
+++ /dev/null
@@ -1,95 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-// Module: alt_xcvr_resync
-//
-// Description:
-//  A general purpose resynchronization module.
-//  
-//  Parameters:
-//    SYNC_CHAIN_LENGTH
-//      - Specifies the length of the synchronizer chain for metastability
-//        retiming.
-//    WIDTH
-//      - Specifies the number of bits you want to synchronize. Controls the width of the
-//        d and q ports.
-//    SLOW_CLOCK - USE WITH CAUTION. 
-//      - Leaving this setting at its default will create a standard resynch circuit that
-//        merely passes the input data through a chain of flip-flops. This setting assumes
-//        that the input data has a pulse width longer than one clock cycle sufficient to
-//        satisfy setup and hold requirements on at least one clock edge.
-//      - By setting this to 1 (USE CAUTION) you are creating an asynchronous
-//        circuit that will capture the input data regardless of the pulse width and 
-//        its relationship to the clock. However it is more difficult to apply static
-//        timing constraints as it ties the data input to the clock input of the flop.
-//        This implementation assumes the data rate is slow enough
-//    INIT_VALUE
-//      - Specifies the initial values of the synchronization registers.
-//
-// Apply embedded false path timing constraint
-(* altera_attribute  = "-name SDC_STATEMENT \"set regs [get_registers -nowarn *alt_xcvr_resync*sync_r[0]]; if {[llength [query_collection -report -all $regs]] > 0} {set_false_path -to $regs}\"" *)
-
-`timescale 1ps/1ps 
-
-module alt_xcvr_resync #(
-    parameter SYNC_CHAIN_LENGTH = 2,  // Number of flip-flops for retiming. Must be >1
-    parameter WIDTH             = 1,  // Number of bits to resync
-    parameter SLOW_CLOCK        = 0,  // See description above
-    parameter INIT_VALUE        = 0
-  ) (
-  input   wire              clk,
-  input   wire              reset,
-  input   wire  [WIDTH-1:0] d,
-  output  wire  [WIDTH-1:0] q
-  );
-
-localparam  INT_LEN       = (SYNC_CHAIN_LENGTH > 1) ? SYNC_CHAIN_LENGTH : 2;
-localparam  [INT_LEN-1:0] L_INIT_VALUE = (INIT_VALUE == 1) ? {INT_LEN{1'b1}} : {INT_LEN{1'b0}};
-
-genvar ig;
-
-// Generate a synchronizer chain for each bit
-generate for(ig=0;ig<WIDTH;ig=ig+1) begin : resync_chains
-    wire                d_in;   // Input to sychronization chain.
-    (* altera_attribute  = "disable_da_rule=D103" *)
-    reg   [INT_LEN-1:0] sync_r = L_INIT_VALUE;
-
-    assign  q[ig]   = sync_r[INT_LEN-1]; // Output signal
-
-    always @(posedge clk or posedge reset)
-      if(reset)
-        sync_r  <= L_INIT_VALUE;
-      else
-        sync_r  <= {sync_r[INT_LEN-2:0],d_in};
-
-    // Generate asynchronous capture circuit if specified.
-    if(SLOW_CLOCK == 0) begin
-      assign  d_in = d[ig];
-    end else begin
-      wire  d_clk;
-      reg   d_r = L_INIT_VALUE[0];
-      wire  clr_n;
-
-      assign  d_clk = d[ig];
-      assign  d_in  = d_r;
-      assign  clr_n = ~q[ig] | d_clk; // Clear when output is logic 1 and input is logic 0
-
-      // Asynchronously latch the input signal.
-      always @(posedge d_clk or negedge clr_n)
-        if(!clr_n)      d_r <= 1'b0;
-        else if(d_clk)  d_r <= 1'b1;
-    end // SLOW_CLOCK
-  end // for loop
-endgenerate
-
-endmodule
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/altera_xcvr_functions.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/altera_xcvr_functions.sv
deleted file mode 100644
index 1416fe72a0ee73a7e1caa8df3bfba7a39cbb96ab..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/altera_xcvr_functions.sv
+++ /dev/null
@@ -1,906 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-//
-// Common functions for transceiver PHY IP
-//
-// $Header$
-//
-// PACKAGE DECLARATION
-package altera_xcvr_functions;
-	localparam integer MAX_CHARS = 86; // To accomodate LONG parameter lists.
-	localparam integer MAX_STRS = 16;
-  localparam integer MAX_XCVR_CHANNELS = 64;
-
-
-  // Reconfiguration bundle widths per family
-  localparam integer W_S5_RECONFIG_BUNDLE_TO_XCVR    = 70;
-  localparam integer W_S5_RECONFIG_BUNDLE_FROM_XCVR  = 46;
-  localparam integer W_A5_RECONFIG_BUNDLE_TO_XCVR    = 70;
-  localparam integer W_A5_RECONFIG_BUNDLE_FROM_XCVR  = 46;
-  localparam integer W_S4_RECONFIG_BUNDLE_TO_XCVR    = 4;
-  localparam integer W_S4_RECONFIG_BUNDLE_FROM_XCVR  = 17;
-  localparam integer W_C4_RECONFIG_BUNDLE_TO_XCVR    = 4;
-  localparam integer W_C4_RECONFIG_BUNDLE_FROM_XCVR  = 17;
-
-  // Reconfiguration bundle widths per family
-  localparam integer W_S5_RECONFIG_BUNDLE_TO_GXB    = W_S5_RECONFIG_BUNDLE_TO_XCVR;
-  localparam integer W_S5_RECONFIG_BUNDLE_FROM_GXB  = W_S5_RECONFIG_BUNDLE_FROM_XCVR;
-  localparam integer W_A5_RECONFIG_BUNDLE_TO_GXB    = W_A5_RECONFIG_BUNDLE_TO_XCVR;
-  localparam integer W_A5_RECONFIG_BUNDLE_FROM_GXB  = W_A5_RECONFIG_BUNDLE_FROM_XCVR;
-  localparam integer W_S4_RECONFIG_BUNDLE_TO_GXB    = W_S4_RECONFIG_BUNDLE_TO_XCVR;
-  localparam integer W_S4_RECONFIG_BUNDLE_FROM_GXB  = W_S4_RECONFIG_BUNDLE_FROM_XCVR;
-  localparam integer W_C4_RECONFIG_BUNDLE_TO_GXB    = W_C4_RECONFIG_BUNDLE_TO_XCVR;
-  localparam integer W_C4_RECONFIG_BUNDLE_FROM_GXB  = W_C4_RECONFIG_BUNDLE_FROM_XCVR;
-
-	// convert frequency string into integer Hz.  Fractional Hz are truncated
-	// Must remain a constant function - can't use string.atoi().
-	function time str2hz (
-		input [8*MAX_CHARS:1] s
-	);
-
-		integer i;
-		integer c; // temp char storage for frequency conversion
-		integer unit_tens; // assume already Hz
-		integer is_numeric;
-		integer saw_dot;
-		
-		reg [8:1] c_dot; // = ".";
-		reg [8:1] c_space; // = " ";
-		reg [8:1] c_a; // = 8'h61; //"a";
-		reg [8:1] c_z; // = 8'h7a; //"z";
-		reg [8*4:1] s_unit;
-                reg [8*MAX_CHARS:1] s_shift;
-		
-		begin
-			// frequency ratio calculations
-			str2hz = 0;
-			unit_tens = 0; // assume already Hz
-			is_numeric = 1;
-			saw_dot = 0;
-			s_unit = "";
-			
-			// Modelsim optimizer bug forces us to initialize these non-statically
-			c_dot = ".";
-			c_space = " ";
-			c_a = "a";
-			c_z = "z";
-			for (i=(MAX_CHARS-1); i>=0; i=i-1) begin
-                                s_shift = (s >> (i*8));
-				c = s_shift[8:1] & 8'hff;
-				if (c > 0) begin
-					//$display("[%d] => '%1s',", i, c);
-					if (c >= 8'h30 && c <= 8'h39 && is_numeric) begin
-						str2hz = (str2hz * 10) + (c & 8'h0f);
-						if (saw_dot) unit_tens = unit_tens - 1;  // count digits after decimal point
-					end else if (c == c_dot) saw_dot = 1;
-					else if (c != c_space) begin
-						is_numeric = 0;	// stop accepting new numeric digits in value
-						// if it's a-z, convert to upper case A-Z
-						if (c >= c_a && c <= c_z) c = (c & 8'h5f);	// convert a-z (lower) to A-Z (upper)
-						s_unit = (s_unit << 8) | c;
-					end
-				end
-			end
-			//$display("numeric = %d x 10**(%2d), unit = '%0s'", str2hz, unit_tens, s_unit);
-			
-			// account for frequency unit
-			if (s_unit == "GHZ" || s_unit == "GBPS") unit_tens = unit_tens + 9; // 10**9
-			else if (s_unit == "MHZ" || s_unit == "MBPS") unit_tens = unit_tens + 6; // 10**6
-			else if (s_unit == "KHZ" || s_unit == "KBPS") unit_tens = unit_tens + 3; // 10**3
-			else if (s_unit != "HZ" && s_unit != "BPS") begin
-				$display("Invalid frequency unit '%0s', assuming %d x 10**(%2d) 'Hz'", s_unit, str2hz, unit_tens);
-			end
-			//$display("numeric in Hz = %d x 10**(%2d)", str2hz, unit_tens);
-
-			// align numeric to Hz
-			if (unit_tens < 0) begin
-				//str2hz = str2hz / (10**(-unit_tens));
-				for (i=0; i>unit_tens; i=i-1) begin
-					str2hz = str2hz / 10;
-				end
-			end else begin
-				//str2hz = str2hz * (10**unit_tens);
-				for (i=0; i<unit_tens; i=i+1) begin
-					str2hz = str2hz * 10;
-				end
-			end
-			//$display("%d Hz", str2hz);
-		end
-	endfunction
-	
-	// convert integer Hz to a frequency string
-	// integer Hz as type time, and the frequency string will use MHz units
-	// Must remain a constant function - can't use $sformat or string.itoa().
-	function [MAX_CHARS*8-1:0] hz2str (
-		input time hz
-	);
-		integer pos;
-		integer f_unit;	// 10**f_unit is offset from Hz for larger unit
-                time hz_mod_10;
-		begin
-			hz2str = "0.000000 MHz";	// minimum string value
-			f_unit = 6;	// MHz offsets Hz value by 6 decimal digits
-
-			// convert time back to string with frequency units
-			// char positions 3 to 0 are used by " MHz", so start with digits at pos 4
-			for (pos = 4; pos < MAX_CHARS && hz > 0; pos = pos + 1) begin
-				if (f_unit == 0) begin
-					hz2str[pos*8 +: 8] = 8'h2e;	// add "." character
-					pos = pos + 1;
-				end
-				f_unit = f_unit - 1;
-                                hz_mod_10 = (hz % 10);
-				hz2str[pos*8 +: 8] = hz_mod_10[7:0] | 8'h30;
-				hz = hz / 10;
-				//$display("hz2str() => so far '%s', pos (%d), f_unit(%d) ", hz2str, pos, f_unit);
-			end
-			//$display("hz2str() returns '%s'", hz2str);
-		end
-	endfunction
-
-  // Convert a string to an integer
-  // Uses pre-existing str2hz function
-  function integer str2int(
-    input [MAX_CHARS*8-1:0] instring
-  );
-    time temp;
-    temp = str2hz(instring); // str2hz assume Hertz as default unit. Don't need to add 'Hz' to input.
-    str2int = temp[31:0];
-  endfunction
-
-
-  // Convert an integer to a string
-  function [MAX_CHARS*8-1:0] int2str(
-    input integer in_int
-  );
-    integer i;
-    integer this_char;
-    i = 0;
-    int2str = "";
-    do
-    begin
-      this_char = (in_int % 10) + 48;
-      int2str[i*8+:8] = this_char[7:0];
-      i=i+1;
-      in_int = in_int / 10; 
-    end
-    while(in_int > 0);
-  endfunction
-
-	// function to convert at most 40-bit long string to binary
-	function [39 : 0] m_str_to_bin;
-	    input [40*8 : 1] s;
-	    reg   [40*8 : 1] reg_s;
-	    reg   [40:1]     res;
-	
-	    integer m;
-	    begin
-	      
-	        reg_s = s;
-	        for (m = 40; m > 0; m = m-1 )
-	        begin
-	            res[m] = reg_s[313];
-	            reg_s = reg_s << 8;
-	        end
-	          
-	        m_str_to_bin = res;
-	    end   
-	endfunction
-
-
-  //////////////////////////////
-  // Convert the argument string to a 64-bit binary value
-  // @hex_str The string to be converted specified as an ASCII hexadecimal string
-  function [63:0] m_hex_to_bin (
-    input [8*MAX_CHARS-1:0] hex_str
-  );
-    integer i;
-  
-    reg [63:0] out; // = 64'h0000_0000_0000_0000;
-    reg [7:0] this_char;
-
-    begin
-
-      out = 64'h0000_0000_0000_0000;
-  
-      for(i=0; i<16; i=i+1)  begin
-        this_char = hex_str[i*8+:8];
-        if(this_char >= 48 && this_char <= 57)
-          out[i*4+:4] = this_char - 48;
-        else if(this_char >= 65 && this_char <= 70)
-          out[i*4+:4] = this_char - 55;
-        else if(this_char >= 97 && this_char <= 102)
-          out[i*4+:4] = this_char - 87;
-        else begin
-          out[i*4+:4] = 0;
-        end
-      end
-    end
-    m_hex_to_bin = out;
-  endfunction
-
-
-
-	////////////////////////////////////////////////////////////////////
-	// Verify that the string value is contained in the legal set.
-	//
-	// The 'set' can consist of a single string with no delimiters, e.g. "individual",
-	// or multiple values, separated by commas, and surrounded by parens, e.g. "(one,two,three,four,five)"
-	//
-	// Returns 1 if the value is in the set, and 0 otherwise
-	function integer is_in_legal_set(
-		input [MAX_CHARS*8-1:0] value,
-		input [MAX_STRS*MAX_CHARS*8-1:0] set
-	);
-		if (value == "<auto_any>")
-			is_in_legal_set = 1;
-		else if (value == "<auto_single>")
-			is_in_legal_set = (set[7:0] == 8'h29) ? 0 : 1;  // 8'h29 is closing parenthesis char
-		else if (value == set)
-			is_in_legal_set = 1;  // value matches single value in set
-		else begin
-			// check value against each in set
-			integer close_pos;	// end of string marker can be comma or closing paren
-			integer open_pos;	// open paren is start of set, if appropriate
-			reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr;
-			
-			is_in_legal_set = 0;
-			open_pos = MAX_STRS*MAX_CHARS-1;
-      // Remove closing parenthesis if exists
-      if(set[7:0] == 8'h29) begin
-        set = (set >> 8);
-        set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00;
-      end
-      // look for first non-null and non open paren character
-	    while (open_pos > 0 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null
-				open_pos = open_pos - 1;
-
-			while (is_in_legal_set == 0 && open_pos >= 0) begin
-	      close_pos = open_pos;
-				while (close_pos > 0
-						 && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c)
-					close_pos = close_pos - 1;
-			  if (close_pos >= 0) begin
-          close_pos = close_pos == 0 ? 0 : close_pos + 1;
-				  legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8);
-					if (value == legalstr)
-						is_in_legal_set = 1;
-				end
-				open_pos = close_pos-2;  // prepare to look for next legal string
-			end
-		end
-		//$display("is_in_legal_set(): returns %d", is_in_legal_set);
-	endfunction
-
-
-  // Accepts a string list of comma seperated numbers and returns a binary
-  // field where each bit indicates whether the index corresponding to that bit
-  // was found in the legal set.
-  //
-  // @param count - The number of integer indexes to check for in the set
-  //                or the highest integer minus 1.
-  // @param set - The list containing the integer values to search for
-  // @return - A bitfield where each bit indicates whether the corresponding
-  //           integer was found in the legal set.
-  function [MAX_XCVR_CHANNELS-1:0] map_numerical_is_in_legal_set(
-    input integer count,
-    input [MAX_STRS*MAX_CHARS*8-1:0] set
-  );
-    integer index;
-    reg [MAX_XCVR_CHANNELS-1:0] retval;
-
-    // Validate count parameter
-    if(count > MAX_XCVR_CHANNELS)
-      $display("Error: [map_numerical_is_in_legal_set]: Invalid value for count: %0d",count);
-
-    map_numerical_is_in_legal_set = {MAX_XCVR_CHANNELS{1'b0}};
-    retval = {MAX_XCVR_CHANNELS{1'b0}};
-    for(index = 0; index < count; index = index + 1) begin
-      if(is_in_legal_set(int2str(index),set))
-        retval = retval | (({MAX_XCVR_CHANNELS{1'b0}} | 1'b1) << index);
-    end
-    map_numerical_is_in_legal_set = retval;
-  endfunction
-
-
-  // Accepts a string list of comma seperated numbers and returns a binary
-  // field where each byte contains the corresponding number found in the
-  // list.
-  //
-  // @param count - The number of elements in the list.
-  // @param set - The list containing the integer values.
-  // @return - A bitfield where each byte contains the corresponding number found
-  //          at that location in the list.
-  function [MAX_XCVR_CHANNELS*8-1:0] map_numerical_legal_set(
-    input integer count,
-    input [MAX_STRS*MAX_CHARS*8-1:0] set
-  );
-    integer index;
-    reg [MAX_XCVR_CHANNELS-1:0] retval;
-    reg [MAX_CHARS*8-1:0] str_val;
-    reg [7:0]             int_val;
-
-    // Validate count parameter
-    if(count > MAX_XCVR_CHANNELS || count > 256)
-      $display("Error: [map_numerical_legal_set]: Invalid value for count: %0d",count);
-
-    map_numerical_legal_set = {MAX_XCVR_CHANNELS{8'd0}};
-    retval = {MAX_XCVR_CHANNELS{8'd0}};
-    for(index = 0; index < count; index = index + 1) begin
-      str_val = get_value_at_index(index,set);
-      if(str_val != "NA") begin
-        int_val = str2int(str_val);
-        if(int_val > 255)
-          $display("Error: [map_numerical_legal_set]: Invalid string contains non-numerical item or value:%0d",int_val);
-        else begin
-          retval = retval | ( ( {MAX_XCVR_CHANNELS{8'd0}} | int_val ) << (index * 8));
-        end
-      end
-    end
-    map_numerical_legal_set = retval;
-  endfunction
-
-
-  // Accepts a comma separated list of string values and returns the element
-  // found at the specified index. If the index is invalid, "NA" is returned
-  //
-  // @param index - The index of the value to return within "set"
-  // @param set - A comma separated list of string values. The entire list may
-  //            be surrounded by parenthesis("(item0,item1,item2)")
-  function [MAX_CHARS*8-1:0] get_value_at_index(
-    input integer index,
-    input [MAX_STRS*MAX_CHARS*8-1:0] set
-  );
-    // check value against each in set
-	  integer close_pos;	// end of string marker can be comma or closing paren
-		integer open_pos;	// open paren is start of set, if appropriate
-		reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr;
-    integer cur_index;
-			
-    get_value_at_index = "";
-    legalstr = "NA";
-    cur_index = 0;
-	  open_pos = MAX_STRS*MAX_CHARS-1;
-    // Remove closing parenthesis if exists
-    if(set[7:0] == 8'h29) begin
-      set = (set >> 8);
-      set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00;
-    end
-    // Find the start of the string
-	  while (open_pos >= 1 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null
-				open_pos = open_pos - 1;
-
-    // Iterate through list until the string is found or we've reached the end of the list
-	  while (legalstr == "NA" && open_pos >= 0 && cur_index <= index) begin
-	    close_pos = open_pos;
-      // Move the close iterator to the end of the current value (or end of string)
-			while (close_pos > 0
-					&& set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c)
-			  close_pos = close_pos - 1;
-			if (close_pos >= 0) begin
-          close_pos = close_pos == 0 ? 0 : close_pos + 1;
-          if(index == cur_index) begin 
-				    legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8);
-				  end
-				  open_pos = close_pos-2;  // prepare to look for next legal string
-      end
-      cur_index = cur_index + 1;
-		end
-
-    cur_index = 0;
-    while(legalstr[cur_index*8+:8] != 0) begin
-      get_value_at_index[cur_index*8+:8] = legalstr[cur_index*8+:8];
-      cur_index = cur_index + 1;
-    end
-    
-		//$display("is_in_legal_set(): returns %d", is_in_legal_set);
-	endfunction
-
-	// The goal was to return one of the values, does not matter which one.
-	// ~45 times faster than get_value_at_index( 0, set );
-	function [MAX_CHARS*8-1:0] get_first_enum_value( input [MAX_STRS*MAX_CHARS*8-1:0] set );
-
-		int start_pos, cur_idx;
-		bit [7:0] cur_set_char;
-                   
-        // Ensure null-terminating the string.
-        // MODIFIED: Work around for a QuestaSim vopt bug: set[(MAX_STRS*MAX_CHARS*8-8) +: 8 ] = 8'h00; 
-        set [ ( MAX_STRS * MAX_CHARS * 8 -1) : ( MAX_STRS * MAX_CHARS * 8 - 8) ] = 8 'h00 ;
-
-		start_pos = set[7:0] == 8'h29 ? 8 : 0;
-		cur_idx = 0;
-
-		get_first_enum_value = "";
-
-		forever
-			begin
-				cur_set_char = set[ cur_idx + start_pos +: 8 ];
-
-				// comma, par or 0
-				if ( cur_set_char == 8'h2c || cur_set_char == 8'h28 || cur_set_char == 8'h00 )
-					break;
-
-				get_first_enum_value[ cur_idx +: 8 ] = cur_set_char;
-				cur_idx = cur_idx + 8;
-			end
-
-		// get_first_enum_value = get_value_at_index( 0, set );
-
-	endfunction
-
-	// This functions is ~12 times faster than is_in_legal_set
-	function integer is_enum_in_legal_set( input [MAX_CHARS*8-1:0] value, input [MAX_STRS*MAX_CHARS*8-1:0] set );
-
-		if ( value == "<auto_any>" )
-			return 1;
-		else if (value == "<auto_single>")
-			return (set[7:0] == 8'h29) ? 0 : 1;  // 8'h29 is closing parenthesis char
-		else if (value == set)
-			return 1;  // value matches single value in set
-		else 
-		begin
-			// ')'
-			int set_pos;
-			set_pos = 0;
-			if ( set[7:0] == 8'h29 )
-				set_pos = 8;
-
-                         // Ensure null-terminating the string.
-                         set[(MAX_STRS*MAX_CHARS*8-8) +: 8 ] = 8'h00;
-
-			forever
-				begin
-					int cur_cmp_len;
-					cur_cmp_len = 0;
-
-					// $display( "set_pos ", set_pos/8 );
-
-					forever
-						begin
-
-							int cur_val_char, cur_set_char;
-							cur_val_char = value[ cur_cmp_len +: 8 ];
-							cur_set_char = set[ set_pos +: 8 ];
-
-							// $display( "comparing ", set_pos/8, cur_cmp_len/8, " " , value[ cur_cmp_len +: 8 ], " ", set[ set_pos +: 8 ] );
-
-							if ( cur_val_char == 0 )
-								// The end of value reached, check whether set has comma, par or 0
-								if ( cur_set_char == 8'h2c || cur_set_char == 8'h28 || cur_set_char == 8'h00 )
-									return 1;
-
-							if ( cur_val_char != cur_set_char )
-								break;
-								
-							cur_cmp_len = cur_cmp_len + 8;
-							set_pos = set_pos + 8;
-						end
-
-					forever
-						begin
-							int cur_set_char;
-							cur_set_char = set[ set_pos +: 8 ];
-
-							// $display( "skipping ", set_pos/8 );
-
-							// ','
-							if ( cur_set_char == 8'h2c )
-								begin
-									set_pos = set_pos + 8;
-									break;
-								end
-
-							if ( cur_set_char == 8'h00 )
-								return 0;
-
-							set_pos = set_pos + 8;
-
-						end
-				end
-		end
-
-	endfunction
-
-	// The first parameter is just a number, NOT a string
-	function integer is_numeric_in_legal_set( input [MAX_CHARS*8-1:0] value, input [MAX_STRS*MAX_CHARS*8-1:0] set );
-		is_numeric_in_legal_set = 1;
-	endfunction
-
-	// AP stubs --- end
-
-
-	////////////////////////////////////////////////////////////////////////
-	// Returns ceil_log2() value
-	localparam integer MAX_PRECISION = 32;	// VCS requires this declaration outside the function
-	function integer ceil_log2;
-		input [MAX_PRECISION-1:0] input_num;
-		integer i;
-		reg [MAX_PRECISION-1:0] try_result;
-		begin
-			i = 0;
-			try_result = 1;
-			while ((try_result << i) < input_num && i < MAX_PRECISION)
-				i = i + 1;
-			ceil_log2 = i;
-		end
-	endfunction
-
-  ////////////////////////////////////////////////////////////////////
-  // Return the number of bits required to represent an integer
-  // E.g. 0->1; 1->1; 2->2; 3->2 ... 31->5; 32->6
-  //
-  function integer clogb2;
-    input [MAX_PRECISION-1:0] input_num;
-    begin
-      for (clogb2=0; input_num>0 && clogb2<MAX_PRECISION; clogb2=clogb2+1)
-        input_num = input_num >> 1;
-      if(clogb2 == 0)
-        clogb2 = 1;
-    end
-  endfunction
-
-	////////////////////////////////////////////////////////////////////
-	// Return current device family string for display purposes
-	`ifndef XCVR_DEV_FAM
-		`ifdef ALTERA_RESERVED_QIS_FAMILY
-			`define XCVR_DEV_FAM `ALTERA_RESERVED_QIS_FAMILY	// synthesis: use QIS-defined value
-		`else
-			`define XCVR_DEV_FAM device_family	// simulation: use passed-in value
-		`endif
-	`endif
-	function [MAX_CHARS*8-1:0] current_device_family (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		current_device_family = `XCVR_DEV_FAM;
-	endfunction
-
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_s4_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_s4_style_hssi = (  (`XCVR_DEV_FAM == "Stratix IV")
-							|| (`XCVR_DEV_FAM == "Arria II")
-							|| (`XCVR_DEV_FAM == "Cyclone IV GX")	// not exact, but close enough
-							|| (`XCVR_DEV_FAM == "Arria II GX")
-							|| (`XCVR_DEV_FAM == "Arria II GZ")
-							|| (`XCVR_DEV_FAM == "HardCopy IV")
-							) ? 1 : 0;
-	endfunction
-
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_s5_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_s5_style_hssi = (  (`XCVR_DEV_FAM == "Stratix V") || (`XCVR_DEV_FAM == "Arria V GZ")
-							) ? 1 : 0;
-	endfunction
-	
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_a5_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_a5_style_hssi = (  (`XCVR_DEV_FAM == "Arria V")
-							) ? 1 : 0;
-	endfunction
-	
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_c5_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_c5_style_hssi = (  (`XCVR_DEV_FAM == "Cyclone V")
-							) ? 1 : 0;
-	endfunction
-	
-	////////////////////////////////////////////////////////////////////
-	// Match device family against standard family name strings
-	//
-	// Returns 1 if the names feature is present in the given device family
-	function integer has_c4_style_hssi (
-		input [MAX_CHARS*8-1:0] device_family
-	);
-		has_c4_style_hssi = (  (`XCVR_DEV_FAM == "Cyclone IV GX")
-							) ? 1 : 0;
-	endfunction
-
-  ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_gxb bundle width for specified device family
-  //
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_to_gxb bundle for that family
-  function integer get_reconfig_to_gxb_width (
-    input [MAX_CHARS*8-1:0] device_family
-  );
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) 
-      get_reconfig_to_gxb_width = W_S5_RECONFIG_BUNDLE_TO_XCVR;
-    else if (has_s4_style_hssi(device_family))
-      get_reconfig_to_gxb_width = W_S4_RECONFIG_BUNDLE_TO_XCVR;
-   else if (has_c4_style_hssi(device_family))
-      get_reconfig_to_gxb_width = W_C4_RECONFIG_BUNDLE_TO_XCVR;
-    else
-      get_reconfig_to_gxb_width = 0;
-  endfunction
-
-  ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_gxb bundle width for specified device family
-  //
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_gxb bundle for that family
-  function integer get_reconfig_from_gxb_width (
-    input [MAX_CHARS*8-1:0] device_family
-  );
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) 
-      get_reconfig_from_gxb_width = W_S5_RECONFIG_BUNDLE_FROM_XCVR;
-    else if (has_s4_style_hssi(device_family))
-      get_reconfig_from_gxb_width = W_S4_RECONFIG_BUNDLE_FROM_XCVR;
-    else if (has_c4_style_hssi(device_family))
-      get_reconfig_from_gxb_width = W_C4_RECONFIG_BUNDLE_FROM_XCVR;
-    else
-      get_reconfig_from_gxb_width = 0;
-  endfunction
-  
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_xcvr total port width for specified device family
-  //
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_to_xcvr port for that family
-  function integer get_reconfig_to_width (
-    input [MAX_CHARS*8-1:0] device_family,
-    input integer reconfig_interfaces
-  );
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) 
-      get_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces);
-    else if (has_s4_style_hssi(device_family))
-      get_reconfig_to_width = W_S4_RECONFIG_BUNDLE_TO_XCVR;
-    else if (has_c4_style_hssi(device_family))
-      get_reconfig_to_width = W_C4_RECONFIG_BUNDLE_TO_XCVR;
-    else
-      get_reconfig_to_width = 0;
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_xcvr total port width for Stratix V device family
-  //
-  function integer get_s5_reconfig_to_width (
-    input integer reconfig_interfaces
-  );
-    get_s5_reconfig_to_width = reconfig_interfaces * get_reconfig_to_gxb_width("Stratix V");
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_xcvr total port width for specified device family
-  //
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_reconfig_from_width (
-    input [MAX_CHARS*8-1:0] device_family,
-    input integer reconfig_interfaces
-  );
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family))
-      get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family);
-    else if (has_s4_style_hssi(device_family))
-      get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family);
-    else if (has_c4_style_hssi(device_family))
-      get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family);
-    else
-      get_reconfig_from_width = 0;
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_xcvr total port width for Stratix V device family
-  //
-  function integer get_s5_reconfig_from_width (
-    input integer reconfig_interfaces
-  );
-    get_s5_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width("Stratix V");
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get number of reconfig interfaces for Custom PHY
-  // NOTE - !!Has since been used by other PHY IP!!
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // @param lanes - Number of channels
-  // @param plls - Number of TX plls (per channel)
-  // @param bonded_group_size - Size of bonded group (1 or lanes)
-  // @param data_path_type - Abuse of function by overloading for ATT support
-  //                       - Carry on the abuse
-  //
-  // @return 0 if the device_family argument is invalid, otherwise
-  //          it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_custom_reconfig_interfaces(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer           lanes,
-    input integer           plls,
-    input integer           bonded_group_size,
-    input [MAX_CHARS*8-1:0] data_path_type = "",
-    input [MAX_CHARS*8-1:0] bonded_mode = "xN"
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = 0;
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin
-      // ATT specific calculations
-      if( data_path_type == "ATT" ) begin
-        if((operation_mode == "RX_ONLY") || (operation_mode == "rx_only") || (operation_mode == "Rx") || (operation_mode == "RX") || (operation_mode == "rx")) begin
-            reconfig_interfaces = lanes;
-        end else if((operation_mode == "TX_ONLY") || (operation_mode == "tx_only") || (operation_mode == "Tx") || (operation_mode == "TX") || (operation_mode == "tx")) begin
-            reconfig_interfaces = 2*lanes;
-        end else begin
-            reconfig_interfaces = 3*lanes;
-        end
-      end else begin
-        // Custom PHY calculations
-        if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only"))
-          reconfig_interfaces = lanes;
-        else begin
-          bonded_group_size = (bonded_mode == "fb_compensation") ? 1 : 
-                              (bonded_mode == "non_bonded") ? 1 : bonded_group_size;
-          reconfig_interfaces = lanes+(plls*(lanes/bonded_group_size));
-        end
-      end
-    end
-    get_custom_reconfig_interfaces = reconfig_interfaces;
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_xcvr total port width for Custom PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // @param lanes - Number of transceiver channels
-  // @param plls - Number of plls per bonded group
-  // @param bonded_group_size - Size of bonded group (1 or lanes)
-  // @param data_path_type - Abuse of function to support ATT
-  //
-  // @return - 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_custom_reconfig_to_width(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer           lanes,
-    input integer           plls,
-    input integer           bonded_group_size,
-    input [MAX_CHARS*8-1:0] data_path_type = "",
-    input [MAX_CHARS*8-1:0] bonded_mode = "xN"
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode );
-    get_custom_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces);
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_xcvr total port width for Custom PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // @param lanes - Number of transceiver channels
-  // @param plls - Number of plls per bonded group
-  // @param bonded_group_size - Size of bonded group (1 or lanes)
-  // @param data_path_type - Abuse of function to support ATT
-  //
-  // @return - 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_custom_reconfig_from_width(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer           lanes,
-    input integer           plls,
-    input integer           bonded_group_size,
-    input [MAX_CHARS*8-1:0] data_path_type = "",
-    input [MAX_CHARS*8-1:0] bonded_mode = "xN"
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode);
-    get_custom_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces);
-  endfunction
-
-
-   ////////////////////////////////////////////////////////////////////   
-   // Start Interlaken Specific functions for calculating reconfig interfaces 
-   // and reconfig_to_gxb, reconfig_from_gxb widths  
-
-    ////////////////////////////////////////////////////////////////////
-  // Get number of reconfig interfaces for Interlaken PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_interlaken_reconfig_interfaces(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer 	    bonded_group_size,
-    input integer           lanes
-  );
-     integer 		    reconfig_interfaces;
-     integer 		    xslices;
-     integer 		    xremain;
-     integer 		    totalplls;
-		    
-    reconfig_interfaces = 0;
-    if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin
-      if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only"))
-        reconfig_interfaces = lanes;
-      else begin
-	 xslices = lanes/bonded_group_size;
-	 xremain = lanes % bonded_group_size;
-	 
-	 if (xremain >0)
-	   totalplls = xslices +1;
-	 else
-	   totalplls = xslices;
-         reconfig_interfaces = lanes+totalplls;
-      end // else: !if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only"))
-    end // if (has_s5_style_hssi(device_family))
-    get_interlaken_reconfig_interfaces = reconfig_interfaces;
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_to_xcvr total port width for Interlaken PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // @param lanes - Number of transceiver channels
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_interlaken_reconfig_to_width(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer 	    bonded_group_size,
-    input integer           lanes
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes);
-    get_interlaken_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces);
-  endfunction
-
-    ////////////////////////////////////////////////////////////////////
-  // Get reconfig_from_xcvr total port width for Custom PHY
-  //
-  // @param device_family - Desired device family
-  // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser
-  // Returns 0 if the device_family argument is invalid, otherwise
-  // it returns the width of the reconfig_from_xcvr port for that family
-  function integer get_interlaken_reconfig_from_width(
-    input [MAX_CHARS*8-1:0] device_family,
-    input [MAX_CHARS*8-1:0] operation_mode,
-    input integer 	    bonded_group_size,          						      
-    input integer           lanes
-  );
-    integer reconfig_interfaces;
-    reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes);
-    get_interlaken_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces);
-  endfunction
-
-   // End Interlaken specific functions
-   ////////////////////////////////////////////////////////////////////   
-
-
-
-
-   
-endpackage
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/altera_xcvr_reset_control.sv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/altera_xcvr_reset_control.sv
deleted file mode 100644
index 07bdfb953060ee5b5516a965b5cb88eac71be34b..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/altera_xcvr_reset_control.sv
+++ /dev/null
@@ -1,441 +0,0 @@
-// (C) 2001-2018 Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions and other 
-// software and tools, and its AMPP partner logic functions, and any output 
-// files from any of the foregoing (including device programming or simulation 
-// files), and any associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License Subscription 
-// Agreement, Intel FPGA IP License Agreement, or other applicable 
-// license agreement, including, without limitation, that your use is for the 
-// sole purpose of programming logic devices manufactured by Intel and sold by 
-// Intel or its authorized distributors.  Please refer to the applicable 
-// agreement for further details.
-
-
-
-// File Name: altera_xcvr_reset_control.sv
-//
-// Description:
-//
-//    A configurable reset controller intended to drive resets for HSSI transceiver PLLs and CHANNELS.
-//  The reset controller makes use of individual reset counters to control reset timing for the various reset
-//  outputs.
-//
-//    Features:
-//      - Optional TX,RX,PLL reset control.
-//      - Optional synchronization of the reset input
-//      - Optional hysteresis for the pll_locked status inputs
-//      - Reset control per channel or shared. (E.g. separate rx_digitalreset control for each channel
-//        or one control for all channels)
-//      - Configurable reset timings
-//      - Optional automatic or manual reset control mode
-//        (For TX, tx_digitalreset can automatically be restarted on loss of pll_locked)
-//        (For RX, rx_digitalreset can automatically be restarted on loss of rx_is_lockedtodata)
-
-`timescale 1ns / 1ns
-`ifndef ALTERA_RESERVED_QIS_FAMILY_ARRIA10
-(* altera_attribute = "-name MERGE_TX_PLL_DRIVEN_BY_REGISTERS_WITH_SAME_CLEAR ON -to \"alt_xcvr_reset_counter:g_pll.counter_pll_powerdown|r_reset\" " *)
-`endif
-//altera message_off 10036
-module  altera_xcvr_reset_control
-#(
-    // General Options
-    parameter CHANNELS          = 1,    // Number of CHANNELS
-    parameter PLLS              = 1,    // Number of TX PLLs. For pll_powerdown and pll_locked
-    parameter SYS_CLK_IN_MHZ    = 250,  // Clock frequency in MHz. Required for reset timers
-    parameter SYNCHRONIZE_RESET = 1,    // (0,1) Synchronize the reset input
-    parameter REDUCED_SIM_TIME  = 1,    // (0,1) 1=Reduced reset timings for simulation
-    // PLL options
-    parameter TX_PLL_ENABLE     = 0,    // (0,1) Enable TX PLL reset
-    parameter T_PLL_POWERDOWN   = 1000, // pll_powerdown period in ns
-    parameter SYNCHRONIZE_PLL_RESET = 0,// (0,1) Use synchronized reset input for PLL powerdown
-                                        // !NOTE! Will prevent PLL merging across reset controllers
-                                        // !NOTE! Requires SYNCHRONIZE_RESET == 1
-    // TX options
-    parameter TX_ENABLE         = 0,    // (0,1) Enable TX resets
-    parameter TX_PER_CHANNEL    = 0,    // (0,1) 1=separate TX reset per channel
-    parameter T_TX_ANALOGRESET  = 0,    // tx_analogreset period (after reset removal)
-    parameter T_TX_DIGITALRESET = 20,   // tx_digitalreset period (after pll_powerdown)
-    parameter T_PLL_LOCK_HYST   = 0,    // Amount of hysteresis to add to pll_locked status signal
-    // RX options
-    parameter RX_ENABLE         = 0,    // (0,1) Enable RX resets
-    parameter RX_PER_CHANNEL    = 0,    // (0,1) 1=separate RX reset per channel
-    parameter T_RX_ANALOGRESET  = 40,   // rx_analogreset period
-    parameter T_RX_DIGITALRESET = 4000,  // rx_digitalreset period (after rx_is_lockedtodata)
-    // CAL BUSY option
-    parameter EN_PLL_CAL_BUSY = 0
-) (
-  // User inputs and outputs
-  input   wire    clock,  // System clock
-  input   wire    reset,  // Asynchronous reset
-
-  // Reset signals
-  output  wire  [PLLS-1:0]      pll_powerdown,      // reset TX PLL (to PHY/PLL)
-  output  wire  [CHANNELS-1:0]  tx_analogreset,     // reset TX PMA (to PHY)
-  output  wire  [CHANNELS-1:0]  tx_digitalreset,    // reset TX PCS (to PHY)
-  output  wire  [CHANNELS-1:0]  rx_analogreset,     // reset RX PMA (to PHY)
-  output  wire  [CHANNELS-1:0]  rx_digitalreset,    // reset RX PCS (to PHY)
-  // Status output
-  output  wire  [CHANNELS-1:0]  tx_ready, // TX is not in reset
-  output  wire  [CHANNELS-1:0]  rx_ready, // RX is not in reset
-
-  // Digital reset override inputs (must by synchronous with clock)
-  input   wire  [CHANNELS-1:0]  tx_digitalreset_or, // reset request for tx_digitalreset
-  input   wire  [CHANNELS-1:0]  rx_digitalreset_or, // reset request for rx_digitalreset
-
-  // TX control inputs
-  input   wire  [PLLS-1:0]      pll_locked,         // TX PLL lock status (from PHY/PLL)
-  input   wire  [pll_select_width(PLLS,TX_PER_CHANNEL,CHANNELS)-1:0] pll_select, // Select TX PLL locked signal 
-  input   wire  [CHANNELS-1:0]  tx_cal_busy,        // TX channel calibration status (from PHY/Reconfig)
-  input   wire  [PLLS-1:0]      pll_cal_busy,       // TX PLL calibration status (from PLL)
-  input   wire  [CHANNELS-1:0]  tx_manual,          // 0 = Automatically restart tx_digitalreset
-                                                    // when pll_locked deasserts.
-                                                    // 1 = Do nothing when pll_locked deasserts
-  // RX control inputs
-  input   wire  [CHANNELS-1:0]  rx_is_lockedtodata, // RX CDR PLL locked-to-data status (from PHY)
-  input   wire  [CHANNELS-1:0]  rx_cal_busy,        // RX channel calibration status (from PHY/Reconfig)
-  input   wire  [CHANNELS-1:0]  rx_manual           // 0 = Automatically restart rx_digitalreset
-                                                    // when rx_is_lockedtodata deasserts
-                                                    // 1 = Do nothing when rx_is_lockedtodata deasserts
-);
-
-// Faster reset time for simulation if indicated
-localparam  SYNTH_CLK_IN_HZ = SYS_CLK_IN_MHZ * 1000000;
-localparam  SIM_CLK_IN_HZ = (REDUCED_SIM_TIME == 1) 
-                            ? 2 * 1000000 : SYNTH_CLK_IN_HZ;
-`ifdef ALTERA_RESERVED_QIS
-  localparam  SYS_CLK_IN_HZ = SYNTH_CLK_IN_HZ;
-`else
-  localparam  SYS_CLK_IN_HZ = SIM_CLK_IN_HZ;
-`endif
-
-// Calculate delays
-wire  reset_sync;         // Synchronized reset input
-wire  stat_pll_powerdown; // PLL powerdown status
-
-genvar ig;
-
-//**************************************************************************
-//************************ Synchronize Reset Input *************************
-generate if(SYNCHRONIZE_RESET == 1) begin: g_reset_sync
-  // Synchronize reset input
-  alt_xcvr_resync #(
-      .SYNC_CHAIN_LENGTH(2),  // Number of flip-flops for retiming
-      .WIDTH            (1),  // Number of bits to resync
-      .INIT_VALUE       (1'b1)
-  ) alt_xcvr_resync_reset (
-    .clk    (clock      ),
-    .reset  (reset      ),
-    .d      (1'b0       ),
-    .q      (reset_sync )
-  );
-end else begin: g_no_reset_sync
-  assign  reset_sync = reset;
-end
-endgenerate
-//************************ Synchronize Reset Input *************************
-//**************************************************************************
-
-
-//***************************************************************************
-//*************************** TX PLL Reset Logic ****************************
-generate if(TX_PLL_ENABLE) begin: g_pll
-  wire  lcl_pll_powerdown;
-  wire  reset_pll;
-  assign  pll_powerdown = {PLLS{lcl_pll_powerdown}};
-  if(SYNCHRONIZE_PLL_RESET == 1) begin : g_sync_pll_reset
-    assign  reset_pll = reset_sync;
-  end else begin : g_no_sync_pll_reset
-    assign  reset_pll = reset;
-  end
-  // pll_powerdown 
-  alt_xcvr_reset_counter #(
-      .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-      .RESET_PER_NS (T_PLL_POWERDOWN  ), // Reset period in ns
-      .ACTIVE_LEVEL (0                )
-  ) counter_pll_powerdown (
-    .clk        (clock              ),
-    .async_req  (reset_pll          ),  // asynchronous reset request
-    .sync_req   (1'b0               ),  // synchronous reset request
-    .reset_or   (1'b0               ),
-    .reset      (lcl_pll_powerdown  ),  // synchronous reset out
-    .reset_n    (/*unused*/         ),
-    .reset_stat (stat_pll_powerdown )
-  );
-end else begin : g_no_pll
-  assign  pll_powerdown = {PLLS{1'b0}};
-  assign  stat_pll_powerdown  = 1'b0;
-end
-endgenerate
-//************************* End TX PLL Reset Logic **************************
-//***************************************************************************
-
-
-//***************************************************************************
-//***************************** TX Reset Logic ******************************
-generate if(TX_ENABLE) begin: g_tx
-  localparam  PLL_SEL_WIDTH = altera_xcvr_functions::clogb2(PLLS-1);
-
-  for (ig=0;ig<CHANNELS;ig=ig+1) begin : g_tx
-    if(ig == 0 || TX_PER_CHANNEL == 1) begin : g_tx
-      wire  lcl_tx_cal_busy;
-      wire  lcl_tx_manual;
-      wire  lcl_tx_digitalreset_or; // tx_digitalreset_or for this channel
-      wire  lcl_pll_locked;   // pll_locked[lcl_pll_select]
-      wire  lcl_pll_cal_busy;   // pll_cal_busy[lcl_pll_select]
-      wire  [PLL_SEL_WIDTH-1:0]  lcl_pll_select;
-      // Synchronized signals
-      wire  tx_cal_busy_sync; // tx_cal_busy after synchronization
-      wire  pll_cal_busy_sync;// pll_cal_busy after synchronization
-      wire  tx_manual_sync;   // Synchronous reset trigger for TX resets
-      wire  pll_locked_sync;  // pll_locked after synchronization
-      wire  pll_locked_hyst;  // pll_locked after hysteresis
-      reg   pll_locked_latch; // One shot latched pll_locked
-      wire  tx_or_pll_cal_busy_sync; //output of OR between synchronized tx_cal_busy and pll_cal_busy
-      // Reset status signals
-      wire  stat_tx_analogreset;
-      wire  stat_tx_digitalreset;
-  
-      // Control signal for this channel. With separate reset control per channel, each channel
-      // listens to its own control signal. Otherwise the control signals for all channels are
-      // combined for the shared reset control.
-      assign  lcl_tx_cal_busy       = TX_PER_CHANNEL ? tx_cal_busy[ig]  : |tx_cal_busy;
-      assign  lcl_tx_manual         = TX_PER_CHANNEL ? tx_manual  [ig]  : |tx_manual;
-      assign  lcl_tx_digitalreset_or= TX_PER_CHANNEL ? tx_digitalreset_or [ig] : |tx_digitalreset_or;
-      assign  lcl_pll_locked        = pll_locked[lcl_pll_select];
-      if(EN_PLL_CAL_BUSY==1) begin : cal_busy
-          assign  lcl_pll_cal_busy      = pll_cal_busy[lcl_pll_select];
-      end else begin : no_cal_busy
-          assign  lcl_pll_cal_busy      = 1'b0;
-      end
-
-      assign  lcl_pll_select        = TX_PER_CHANNEL ? pll_select[ig*PLL_SEL_WIDTH+:PLL_SEL_WIDTH]
-                                                     : (PLLS > 1)   ? pll_select
-                                                     : 1'b0;
-      
-      assign tx_or_pll_cal_busy_sync = tx_cal_busy_sync | pll_cal_busy_sync;
-
-      // Synchonize TX inputs
-      alt_xcvr_resync #(
-          .SYNC_CHAIN_LENGTH(2),  // Number of flip-flops for retiming
-          .WIDTH      (4),
-          .INIT_VALUE (0)
-      ) resync_tx_cal_busy (
-        .clk    (clock            ),
-        .reset  (reset_sync       ),
-        .d      ({lcl_tx_cal_busy ,lcl_pll_cal_busy ,lcl_tx_manual ,lcl_pll_locked }),
-        .q      ({tx_cal_busy_sync,pll_cal_busy_sync,tx_manual_sync,pll_locked_sync})
-      );
-
-      // Add hysteresis to pll_locked if needed
-      // Reset counter works fine for hysteresis
-      if(T_PLL_LOCK_HYST != 0) begin : g_pll_locked_hyst
-        alt_xcvr_reset_counter #(
-            .CLKS_PER_SEC (SYS_CLK_IN_HZ  ), // Clock frequency in Hz
-            .RESET_PER_NS (T_PLL_LOCK_HYST)  // Reset period in ns
-        ) counter_pll_locked_hyst (
-          .clk        (clock            ),
-          .async_req  (reset_sync       ),  // asynchronous reset request
-          .sync_req   (~pll_locked_sync ),  // synchronous reset request
-          .reset_or   (1'b0             ),
-          .reset      (/*unused*/       ),  // synchronous reset out
-          .reset_n    (pll_locked_hyst  ),
-          .reset_stat (/*unused*/       )
-        );
-      end else begin : g_no_pll_locked_hyst
-        // No hysteresis added; use synchronized pll_locked directly.
-        assign  pll_locked_hyst = pll_locked_sync;
-      end
-
-      // Add one-shot latch to pll_locked for initial reset sequence
-      always @(posedge clock or posedge reset_sync)
-      if(reset_sync)  pll_locked_latch  <= 1'b0;
-      else if(pll_locked_hyst & ~tx_cal_busy_sync)
-                      pll_locked_latch  <= 1'b1;
-  
-      // tx_analogreset
-      if(T_TX_ANALOGRESET == 0) begin
-        // Tie tx_analogreset to pll_powerdown if used, otherwise tie to reset input (which may be synchronized)
-        assign  tx_analogreset[ig]  = TX_PLL_ENABLE ? pll_powerdown[0] : reset_sync;
-        assign  stat_tx_analogreset = stat_pll_powerdown;
-      end else begin
-        // Assert rx_analogreset during RX calibration and for "T_RX_ANALOGRESET" ns thereafter
-        alt_xcvr_reset_counter #(
-            .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-            .RESET_PER_NS (T_TX_ANALOGRESET )  // Reset period in ns
-        ) counter_tx_analogreset (
-          .clk        (clock                  ),
-          .async_req  (reset_sync             ),  // asynchronous reset request
-          .sync_req   (tx_or_pll_cal_busy_sync),  // synchronous reset request
-          .reset_or   (1'b0                   ),  // auxilliary reset override
-          .reset      (tx_analogreset [ig]    ),  // synchronous reset out
-          .reset_n    (/*unused*/             ),
-          .reset_stat (stat_tx_analogreset    )
-        );
-      end
-
-      // tx_digitalreset
-      // Assert tx_digitalreset while any of the following
-      // 1 - pll_powerdown is asserted.
-      // 2 - TX calibration is in progress
-      // 3 - PLL has not reached initial lock (pll_locked_latch)
-      // 4 - PLL is not locked AND TX reset is NOT under manual control
-      // 5 - Reset override
-      alt_xcvr_reset_counter #(
-          .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-          .RESET_PER_NS (T_TX_DIGITALRESET )  // Reset period in ns
-      ) counter_tx_digitalreset (
-        .clk        (clock                  ),
-        .async_req  (reset_sync             ),  // asynchronous reset request
-        .sync_req   (stat_tx_analogreset | tx_cal_busy_sync | ~pll_locked_latch | (~pll_locked_hyst&~tx_manual_sync)),  // synchronous reset request
-        .reset_or   (lcl_tx_digitalreset_or ),  // auxilliary reset override
-        .reset      (tx_digitalreset[ig]    ),  // synchronous reset out
-        .reset_n    (/*unused*/             ),
-        .reset_stat (stat_tx_digitalreset   )
-      );
-  
-      // tx_ready
-      alt_xcvr_reset_counter #(
-          .RESET_COUNT(3)
-      ) counter_tx_ready (
-        .clk        (clock                ),
-        .async_req  (reset_sync           ),  // asynchronous reset request
-        .sync_req   (stat_tx_digitalreset ),  // synchronous reset request
-        .reset_or   (1'b0                 ),  // auxilliary reset override
-        .reset      (/*unused*/           ),  // synchronous reset out
-        .reset_n    (tx_ready       [ig]  ),
-        .reset_stat (/*unused*/           )   // reset status
-      );
-    end else begin : g_fanout_tx
-      assign  tx_analogreset  [ig]  = tx_analogreset  [0];
-      assign  tx_digitalreset [ig]  = tx_digitalreset [0];
-      assign  tx_ready        [ig]  = tx_ready        [0];
-    end
-  end
-end else begin : g_no_tx
-  assign  tx_analogreset  = {CHANNELS{1'b0}};
-  assign  tx_digitalreset = {CHANNELS{1'b0}};
-  assign  tx_ready        = {CHANNELS{1'b0}};
-end
-endgenerate
-//*************************** End TX Reset Logic ****************************
-//***************************************************************************
-
-
-//***************************************************************************
-//***************************** RX Reset Logic ******************************
-generate if (RX_ENABLE) begin : g_rx
-  for (ig=0;ig<CHANNELS;ig=ig+1) begin : g_rx
-    if(ig == 0 || RX_PER_CHANNEL == 1) begin : g_rx
-      wire  lcl_rx_cal_busy;        // rx_cal_busy for this channel
-      wire  lcl_rx_manual;          // rx_manual for this channel
-      wire  lcl_rx_is_lockedtodata; // rx_is_lockedtodata for this channel
-      wire  lcl_rx_digitalreset_or; // rx_digitalreset_or for this channel
-      // Synchronized signals
-      wire  rx_cal_busy_sync;         // rx_cal_busy after synchronization
-      wire  rx_manual_sync;           // rx_manual after synchronization
-      wire  rx_is_lockedtodata_sync;  // rx_is_lockedtodata after synchronization
-      // Reset status signals
-      wire  stat_rx_analogreset;
-      wire  stat_rx_digitalreset;     
-    
-      // Control signal for this channel. With separate reset control per channel, each channel
-      // listens to its own control signal. Otherwise the control signals for all channels are
-      // combined for the shared reset control.
-      assign  lcl_rx_manual           = RX_PER_CHANNEL ? rx_manual          [ig] : |rx_manual;
-      assign  lcl_rx_cal_busy         = RX_PER_CHANNEL ? rx_cal_busy        [ig] : |rx_cal_busy;
-      assign  lcl_rx_is_lockedtodata  = RX_PER_CHANNEL ? rx_is_lockedtodata [ig] : &rx_is_lockedtodata;
-      assign  lcl_rx_digitalreset_or  = RX_PER_CHANNEL ? rx_digitalreset_or [ig] : |rx_digitalreset_or;
-      
-      // Synchonize RX inputs
-      alt_xcvr_resync #(
-          .SYNC_CHAIN_LENGTH(2),  // Number of flip-flops for retiming
-          .WIDTH            (3),
-          .INIT_VALUE       (3'b100)
-      ) resync_rx_cal_busy (
-        .clk    (clock            ),
-        .reset  (reset_sync       ),
-        .d      ({lcl_rx_cal_busy, lcl_rx_is_lockedtodata ,lcl_rx_manual }),
-        .q      ({rx_cal_busy_sync,rx_is_lockedtodata_sync,rx_manual_sync})
-      );
-    
-      // rx_analogreset
-      // Assert rx_analogreset during RX calibration and for "T_RX_ANALOGRESET" ns thereafter
-      alt_xcvr_reset_counter #(
-          .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-          .RESET_PER_NS (T_RX_ANALOGRESET )  // Reset period in ns
-      ) counter_rx_analogreset (
-        .clk        (clock              ),
-        .async_req  (reset_sync         ),  // asynchronous reset request
-        .sync_req   (rx_cal_busy_sync   ),  // synchronous reset request
-        .reset_or   (1'b0               ),  // auxilliary reset override
-        .reset      (rx_analogreset [ig]),  // synchronous reset out
-        .reset_n    (/*unused*/         ),
-        .reset_stat (stat_rx_analogreset)
-      );
-    
-      // rx_digitalreset
-      // Assert rx_digitalreset while any of the following:
-      // 1 - RX calibration is in progress
-      // 2 - rx_analogreset is asserted
-      // 3 - RX is not locked to data AND RX reset is NOT under manual control
-      //        (meaning user wants us to respond to loss of RX data lock)
-      alt_xcvr_reset_counter #(
-          .CLKS_PER_SEC (SYS_CLK_IN_HZ    ), // Clock frequency in Hz
-          .RESET_PER_NS (T_RX_DIGITALRESET )  // Reset period in ns
-      ) counter_rx_digitalreset (
-        .clk        (clock                  ),
-        .async_req  (reset_sync             ),  // asynchronous reset request
-        .sync_req   (rx_cal_busy_sync|stat_rx_analogreset|(~rx_is_lockedtodata_sync&~rx_manual_sync)),  // synchronous reset request
-        .reset_or   (lcl_rx_digitalreset_or ),  // auxilliary reset override
-        .reset      (rx_digitalreset[ig]    ),  // synchronous reset out
-        .reset_n    (/*unused*/             ),
-        .reset_stat (stat_rx_digitalreset   )
-      );
-    
-      // rx_ready
-      alt_xcvr_reset_counter #(
-          .RESET_COUNT(3)
-      ) counter_rx_ready (
-        .clk        (clock                ),
-        .async_req  (reset_sync           ),  // asynchronous reset request
-        .sync_req   (stat_rx_digitalreset ),  // synchronous reset request
-        .reset_or   (1'b0                 ),  // auxilliary reset override
-        .reset      (/*unused*/           ),  // synchronous reset out
-        .reset_n    (rx_ready[ig]         ),
-        .reset_stat (/*unused*/           )
-      );
-    
-    end else begin : g_fanout_rx
-      assign  rx_analogreset  [ig]  = rx_analogreset  [0];
-      assign  rx_digitalreset [ig]  = rx_digitalreset [0];
-      assign  rx_ready        [ig]  = rx_ready        [0];
-    end
-  end
-end else begin : g_no_rx
-  assign  rx_analogreset  = {CHANNELS{1'b0}};
-  assign  rx_digitalreset = {CHANNELS{1'b0}};
-  assign  rx_ready        = {CHANNELS{1'b0}};
-end
-endgenerate
-//*************************** End RX Reset Logic ****************************
-//***************************************************************************
-
-// pll_select_width
-// Internal function to calculate the width of pll_select port.
-// @param PLLS - Number of TX PLLs
-// @param TX_PER_CHANNEL - Separate TX reset controller per channel
-// @param CHANNELS - The number of TX CHANNELS
-//
-// @return - The width of the pll_select port
-function integer pll_select_width;
-  input integer PLLS;
-  input integer TX_PER_CHANNEL;
-  input integer CHANNELS;
-  begin
-    pll_select_width = altera_xcvr_functions::clogb2(PLLS-1);
-    if(TX_PER_CHANNEL) pll_select_width = pll_select_width * CHANNELS;
-  end
-endfunction
-
-endmodule
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/plain_files.txt b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/plain_files.txt
deleted file mode 100644
index d5fd5374d7dd576eefc57987f65074b7c2d15437..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/altera_xcvr_reset_control_180/synth/plain_files.txt
+++ /dev/null
@@ -1,4 +0,0 @@
-./altera_xcvr_functions.sv
-./alt_xcvr_resync.sv
-./altera_xcvr_reset_control.sv
-./alt_xcvr_reset_counter.sv
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.bsf b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.bsf
deleted file mode 100644
index e200accf6d5965522c1f841490dad83f41f6d161..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.bsf
+++ /dev/null
@@ -1,115 +0,0 @@
-/*
-WARNING: Do NOT edit the input and output ports in this file in a text
-editor if you plan to continue editing the block that represents it in
-the Block Editor! File corruption is VERY likely to occur.
-*/
-/*
-Copyright (C) 2019  Intel Corporation. All rights reserved.
-Your use of Intel Corporation's design tools, logic functions 
-and other software and tools, and any partner logic 
-functions, and any output files from any of the foregoing 
-(including device programming or simulation files), and any 
-associated documentation or information are expressly subject 
-to the terms and conditions of the Intel Program License 
-Subscription Agreement, the Intel Quartus Prime License Agreement,
-the Intel FPGA IP License Agreement, or other applicable license
-agreement, including, without limitation, that your use is for
-the sole purpose of programming logic devices manufactured by
-Intel and sold by Intel or its authorized distributors.  Please
-refer to the applicable agreement for further details, at
-https://fpgasoftware.intel.com/eula.
-*/
-(header "symbol" (version "1.1"))
-(symbol
-	(rect 0 0 640 224)
-	(text "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" (rect 166 -1 379 11)(font "Arial" (font_size 10)))
-	(text "inst" (rect 8 208 20 220)(font "Arial" ))
-	(port
-		(pt 0 72)
-		(input)
-		(text "clock_clk" (rect 0 0 36 12)(font "Arial" (font_size 8)))
-		(text "clock_clk" (rect 4 61 58 72)(font "Arial" (font_size 8)))
-		(line (pt 0 72)(pt 240 72)(line_width 1))
-	)
-	(port
-		(pt 0 112)
-		(input)
-		(text "reset_reset" (rect 0 0 46 12)(font "Arial" (font_size 8)))
-		(text "reset_reset" (rect 4 101 70 112)(font "Arial" (font_size 8)))
-		(line (pt 0 112)(pt 240 112)(line_width 1))
-	)
-	(port
-		(pt 0 152)
-		(input)
-		(text "rx_cal_busy_rx_cal_busy[11..0]" (rect 0 0 129 12)(font "Arial" (font_size 8)))
-		(text "rx_cal_busy_rx_cal_busy[11..0]" (rect 4 141 184 152)(font "Arial" (font_size 8)))
-		(line (pt 0 152)(pt 240 152)(line_width 3))
-	)
-	(port
-		(pt 0 192)
-		(input)
-		(text "rx_is_lockedtodata_rx_is_lockedtodata[11..0]" (rect 0 0 177 12)(font "Arial" (font_size 8)))
-		(text "rx_is_lockedtodata_rx_is_lockedtodata[11..0]" (rect 4 181 268 192)(font "Arial" (font_size 8)))
-		(line (pt 0 192)(pt 240 192)(line_width 3))
-	)
-	(port
-		(pt 640 72)
-		(output)
-		(text "rx_analogreset_rx_analogreset[11..0]" (rect 0 0 146 12)(font "Arial" (font_size 8)))
-		(text "rx_analogreset_rx_analogreset[11..0]" (rect 457 61 673 72)(font "Arial" (font_size 8)))
-		(line (pt 640 72)(pt 400 72)(line_width 3))
-	)
-	(port
-		(pt 640 112)
-		(output)
-		(text "rx_digitalreset_rx_digitalreset[11..0]" (rect 0 0 136 12)(font "Arial" (font_size 8)))
-		(text "rx_digitalreset_rx_digitalreset[11..0]" (rect 467 101 695 112)(font "Arial" (font_size 8)))
-		(line (pt 640 112)(pt 400 112)(line_width 3))
-	)
-	(port
-		(pt 640 152)
-		(output)
-		(text "rx_ready_rx_ready[11..0]" (rect 0 0 103 12)(font "Arial" (font_size 8)))
-		(text "rx_ready_rx_ready[11..0]" (rect 515 141 659 152)(font "Arial" (font_size 8)))
-		(line (pt 640 152)(pt 400 152)(line_width 3))
-	)
-	(drawing
-		(text "clock" (rect 212 43 454 99)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "clk" (rect 245 67 508 144)(font "Arial" (color 0 0 0)))
-		(text "reset" (rect 211 83 452 179)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset" (rect 245 107 520 224)(font "Arial" (color 0 0 0)))
-		(text "rx_analogreset" (rect 401 43 886 99)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "rx_analogreset" (rect 333 67 750 144)(font "Arial" (color 0 0 0)))
-		(text "rx_cal_busy" (rect 171 123 408 259)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "rx_cal_busy" (rect 245 147 556 304)(font "Arial" (color 0 0 0)))
-		(text "rx_digitalreset" (rect 401 83 892 179)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "rx_digitalreset" (rect 336 107 762 224)(font "Arial" (color 0 0 0)))
-		(text "rx_is_lockedtodata" (rect 131 163 370 339)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "rx_is_lockedtodata" (rect 245 187 598 384)(font "Arial" (color 0 0 0)))
-		(text "rx_ready" (rect 401 123 850 259)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "rx_ready" (rect 357 147 762 304)(font "Arial" (color 0 0 0)))
-		(text " ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 " (rect 407 208 1120 426)(font "Arial" ))
-		(line (pt 240 32)(pt 400 32)(line_width 1))
-		(line (pt 400 32)(pt 400 208)(line_width 1))
-		(line (pt 240 208)(pt 400 208)(line_width 1))
-		(line (pt 240 32)(pt 240 208)(line_width 1))
-		(line (pt 241 52)(pt 241 76)(line_width 1))
-		(line (pt 242 52)(pt 242 76)(line_width 1))
-		(line (pt 241 92)(pt 241 116)(line_width 1))
-		(line (pt 242 92)(pt 242 116)(line_width 1))
-		(line (pt 399 52)(pt 399 76)(line_width 1))
-		(line (pt 398 52)(pt 398 76)(line_width 1))
-		(line (pt 241 132)(pt 241 156)(line_width 1))
-		(line (pt 242 132)(pt 242 156)(line_width 1))
-		(line (pt 399 92)(pt 399 116)(line_width 1))
-		(line (pt 398 92)(pt 398 116)(line_width 1))
-		(line (pt 241 172)(pt 241 196)(line_width 1))
-		(line (pt 242 172)(pt 242 196)(line_width 1))
-		(line (pt 399 132)(pt 399 156)(line_width 1))
-		(line (pt 398 132)(pt 398 156)(line_width 1))
-		(line (pt 0 0)(pt 640 0)(line_width 1))
-		(line (pt 640 0)(pt 640 224)(line_width 1))
-		(line (pt 0 224)(pt 640 224)(line_width 1))
-		(line (pt 0 0)(pt 0 224)(line_width 1))
-	)
-)
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.cmp b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.cmp
deleted file mode 100644
index 7ce6d6800819f89e594e4498519c940f2fe2cf34..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.cmp
+++ /dev/null
@@ -1,12 +0,0 @@
-	component ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 is
-		port (
-			clock_clk                             : in  std_logic                     := 'X';             -- clk
-			reset_reset                           : in  std_logic                     := 'X';             -- reset
-			rx_analogreset_rx_analogreset         : out std_logic_vector(11 downto 0);                    -- rx_analogreset
-			rx_cal_busy_rx_cal_busy               : in  std_logic_vector(11 downto 0) := (others => 'X'); -- rx_cal_busy
-			rx_digitalreset_rx_digitalreset       : out std_logic_vector(11 downto 0);                    -- rx_digitalreset
-			rx_is_lockedtodata_rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => 'X'); -- rx_is_lockedtodata
-			rx_ready_rx_ready                     : out std_logic_vector(11 downto 0)                     -- rx_ready
-		);
-	end component ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12;
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.csv b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.csv
deleted file mode 100644
index 6f9d2f195ff3403c47e3035b1c552604bf3bc6d7..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.csv
+++ /dev/null
@@ -1,30 +0,0 @@
-# system info ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 on 2019.11.25.09:39:34
-system_info:
-name,value
-DEVICE,10AX115U2F45E1SG
-DEVICE_FAMILY,Arria 10
-GENERATION_ID,0
-#
-#
-# Files generated for ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 on 2019.11.25.09:39:34
-files:
-filepath,kind,attributes,module,is_top
-sim/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd,VHDL,CONTAINS_INLINE_CONFIGURATION,ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12,true
-altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv,SYSTEM_VERILOG,,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_functions.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv,SYSTEM_VERILOG,,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_resync.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv,SYSTEM_VERILOG,,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv,SYSTEM_VERILOG,,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_reset_control.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_reset_counter.sv,SYSTEM_VERILOG_ENCRYPT,MENTOR_SPECIFIC,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/plain_files.txt,OTHER,,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/mentor_files.txt,OTHER,,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/cadence_files.txt,OTHER,,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/synopsys_files.txt,OTHER,,altera_xcvr_reset_control,false
-altera_xcvr_reset_control_180/sim/aldec_files.txt,OTHER,,altera_xcvr_reset_control,false
-#
-# Map from instance-path to kind of module
-instances:
-instancePath,module
-ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.xcvr_reset_control_0,altera_xcvr_reset_control
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.html b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.html
deleted file mode 100644
index 74eeec538127fb67fb5f9acf5afb699551811e01..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.html
+++ /dev/null
@@ -1,129 +0,0 @@
-<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN">
-
-<html xmlns="http://www.w3.org/1999/xhtml">
- <head>
-  <title>datasheet for ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</title>
-  <style type="text/css">
-body { font-family:arial ;}
-a { text-decoration:underline ; color:#003000 ;}
-a:hover { text-decoration:underline ; color:0030f0 ;}
-td { padding : 5px ;}
-table.topTitle { width:100% ;}
-table.topTitle td.l { text-align:left ; font-weight: bold ; font-size:30px ;}
-table.topTitle td.r { text-align:right ; font-weight: bold ; font-size:16px ;}
-table.blueBar { width : 100% ; border-spacing : 0px ;}
-table.blueBar td { background:#0036ff ; font-size:12px ; color : white ; text-align : left ; font-weight : bold ;}
-table.blueBar td.l { text-align : left ;}
-table.blueBar td.r { text-align : right ;}
-table.items { width:100% ; border-collapse:collapse ;}
-table.items td.label { font-weight:bold ; font-size:16px ; vertical-align:top ;}
-table.items td.mono { font-family:courier ; font-size:12px ; white-space:pre ;}
-div.label { font-weight:bold ; font-size:16px ; vertical-align:top ; text-align:center ;}
-table.grid { border-collapse:collapse ;}
-table.grid td { border:1px solid #bbb ; font-size:12px ;}
-body { font-family:arial ;}
-table.x { font-family:courier ; border-collapse:collapse ; padding:2px ;}
-table.x td { border:1px solid #bbb ;}
-td.tableTitle { font-weight:bold ; text-align:center ;}
-table.grid { border-collapse:collapse ;}
-table.grid td { border:1px solid #bbb ;}
-table.grid td.tableTitle { font-weight:bold ; text-align:center ;}
-table.mmap { border-collapse:collapse ; text-size:11px ; border:1px solid #d8d8d8 ;}
-table.mmap td { border-color:#d8d8d8 ; border-width:1px ; border-style:solid ;}
-table.mmap td.empty { border-style:none ; background-color:#f0f0f0 ;}
-table.mmap td.slavemodule { text-align:left ; font-size:11px ; border-style:solid solid none solid ;}
-table.mmap td.slavem { text-align:right ; font-size:9px ; font-style:italic ; border-style:none solid none solid ;}
-table.mmap td.slaveb { text-align:right ; font-size:9px ; font-style:italic ; border-style:none solid solid solid ;}
-table.mmap td.mastermodule { text-align:center ; font-size:11px ; border-style:solid solid none solid ;}
-table.mmap td.masterlr { text-align:center ; font-size:9px ; font-style:italic ; border-style:none solid solid solid ;}
-table.mmap td.masterl { text-align:center ; font-size:9px ; font-style:italic ; border-style:none none solid solid ;}
-table.mmap td.masterm { text-align:center ; font-size:9px ; font-style:italic ; border-style:none none solid none ;}
-table.mmap td.masterr { text-align:center ; font-size:9px ; font-style:italic ; border-style:none solid solid none ;}
-table.mmap td.addr { font-family:courier ; font-size:9px ; text-align:right ;}
-table.connectionboxes { border-collapse:separate ; border-spacing:0px ; font-family:arial ;}
-table.connectionboxes td.from { border-bottom:1px solid black ; font-size:9px ; font-style:italic ; vertical-align:bottom ; text-align:left ;}
-table.connectionboxes td.to { font-size:9px ; font-style:italic ; vertical-align:top ; text-align:right ;}
-table.connectionboxes td.lefthandwire { border-bottom:1px solid black ; font-size:9px ; font-style:italic ; vertical-align:bottom ; text-align:right ;}
-table.connectionboxes td.righthandwire { border-bottom:1px solid black ; font-size:9px ; font-style:italic ; vertical-align:bottom ; text-align:left ;}
-table.connectionboxes td.righthandlabel { font-size:11px ; vertical-align:bottom ; text-align:left ;}
-table.connectionboxes td.neighbor { padding:3px ; border:1px solid black ; font-size: 11px ; background:#e8e8e8 ; vertical-align:center ; text-align:center ;}
-table.connectionboxes td.main { padding:8px ; border:1px solid black ; font-size: 14px ; font-weight:bold ; background:#ffffff ; vertical-align:center ; text-align:center ;}
-.parametersbox { border:1px solid #d0d0d0 ; display:inline-block ; max-height:160px ; overflow:auto ; width:360px ; font-size:10px ;}
-.flowbox { display:inline-block ;}
-.parametersbox table { font-size:10px ;}
-td.parametername { font-style:italic ;}
-td.parametervalue { font-weight:bold ;}
-div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; border-top:1px solid #707070 ; border-bottom:1px solid #707070 ; padding:20px ; margin:20px ; width:auto ;}</style>
- </head>
- <body>
-  <table class="topTitle">
-   <tr>
-    <td class="l">ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</td>
-    <td class="r">
-     <br/>
-     <br/>
-    </td>
-   </tr>
-  </table>
-  <table class="blueBar">
-   <tr>
-    <td class="l">2020.11.26.17:15:22</td>
-    <td class="r">Datasheet</td>
-   </tr>
-  </table>
-  <div style="width:100% ;  height:10px"> </div>
-  <div class="label">Overview</div>
-  <div class="greydiv">
-   <div style="display:inline-block ; text-align:left">
-    <table class="connectionboxes">
-     <tr style="height:6px">
-      <td></td>
-     </tr>
-    </table>
-   </div><span style="display:inline-block ; width:28px"> </span>
-   <div style="display:inline-block ; text-align:left"><span>
-     <br/></span>
-   </div>
-  </div>
-  <div style="width:100% ;  height:10px"> </div>
-  <div class="label">Memory Map</div>
-  <table class="mmap">
-   <tr>
-    <td class="empty" rowspan="2"></td>
-   </tr>
-  </table>
-  <a name="module_xcvr_reset_control_0"> </a>
-  <div>
-   <hr/>
-   <h2>xcvr_reset_control_0</h2>altera_xcvr_reset_control v19.1
-   <br/>
-   <br/>
-   <br/>
-   <table class="flowbox">
-    <tr>
-     <td class="parametersbox">
-      <h2>Parameters</h2>
-      <table>
-       <tr>
-        <td class="parametername">generateLegacySim</td>
-        <td class="parametervalue">false</td>
-       </tr>
-      </table>
-     </td>
-    </tr>
-   </table>&#160;&#160;
-   <table class="flowbox">
-    <tr>
-     <td class="parametersbox">
-      <h2>Software Assignments</h2>(none)</td>
-    </tr>
-   </table>
-  </div>
-  <table class="blueBar">
-   <tr>
-    <td class="l">generation took 0.00 seconds</td>
-    <td class="r">rendering took 0.00 seconds</td>
-   </tr>
-  </table>
- </body>
-</html>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qgsimc b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qgsimc
deleted file mode 100644
index a7c42a97ea6ebdc5e51b55a276a9850494cc509f..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qgsimc
+++ /dev/null
@@ -1,174 +0,0 @@
-<?xml version="1.0" ?>
-<node xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xs="http://www.w3.org/2001/XMLSchema" xmlns:altera="http://www.altera.com/XMLSchema/Qsys/SystemTree">
-  <instanceKey xsi:type="xs:string">ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</instanceKey>
-  <instanceData xsi:type="data">
-    <parameters></parameters>
-    <interconnectAssignments>
-      <interconnectAssignment>
-        <name>$system.qsys_mm.clockCrossingAdapter</name>
-        <value>HANDSHAKE</value>
-      </interconnectAssignment>
-      <interconnectAssignment>
-        <name>$system.qsys_mm.maxAdditionalLatency</name>
-        <value>0</value>
-      </interconnectAssignment>
-    </interconnectAssignments>
-    <className>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</className>
-    <version>1.0</version>
-    <name>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</name>
-    <uniqueName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</uniqueName>
-    <nonce>0</nonce>
-    <incidentConnections></incidentConnections>
-  </instanceData>
-  <children>
-    <node>
-      <instanceKey xsi:type="xs:string">xcvr_reset_control_0</instanceKey>
-      <instanceData xsi:type="data">
-        <parameters>
-          <parameter>
-            <name>CHANNELS</name>
-            <value>12</value>
-          </parameter>
-          <parameter>
-            <name>EN_PLL_CAL_BUSY</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>PLLS</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>REDUCED_SIM_TIME</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>RX_ENABLE</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>RX_PER_CHANNEL</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>SYNCHRONIZE_PLL_RESET</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>SYNCHRONIZE_RESET</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>SYS_CLK_IN_MHZ</name>
-            <value>100</value>
-          </parameter>
-          <parameter>
-            <name>TX_ENABLE</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>TX_PER_CHANNEL</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>TX_PLL_ENABLE</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>T_PLL_LOCK_HYST</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>T_PLL_POWERDOWN</name>
-            <value>1000</value>
-          </parameter>
-          <parameter>
-            <name>T_RX_ANALOGRESET</name>
-            <value>70000</value>
-          </parameter>
-          <parameter>
-            <name>T_RX_DIGITALRESET</name>
-            <value>4000</value>
-          </parameter>
-          <parameter>
-            <name>T_TX_ANALOGRESET</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>T_TX_DIGITALRESET</name>
-            <value>20</value>
-          </parameter>
-          <parameter>
-            <name>device_family</name>
-            <value>Arria 10</value>
-          </parameter>
-          <parameter>
-            <name>gui_pll_cal_busy</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>gui_rx_auto_reset</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>gui_split_interfaces</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>gui_tx_auto_reset</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>l_pll_select_base</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>l_pll_select_split</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>l_pll_select_width</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>l_rx_manual_term</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>l_terminate_pll</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>l_terminate_rx</name>
-            <value>0</value>
-          </parameter>
-          <parameter>
-            <name>l_terminate_rx_manual</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>l_terminate_tx</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>l_terminate_tx_manual</name>
-            <value>1</value>
-          </parameter>
-          <parameter>
-            <name>l_tx_manual_term</name>
-            <value>0</value>
-          </parameter>
-        </parameters>
-        <interconnectAssignments></interconnectAssignments>
-        <className>altera_xcvr_reset_control</className>
-        <version>18.0</version>
-        <name>xcvr_reset_control_0</name>
-        <uniqueName>altera_xcvr_reset_control</uniqueName>
-        <fixedName>altera_xcvr_reset_control</fixedName>
-        <nonce>0</nonce>
-        <incidentConnections></incidentConnections>
-        <path>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.xcvr_reset_control_0</path>
-      </instanceData>
-      <children></children>
-    </node>
-  </children>
-</node>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qgsynthc b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qgsynthc
deleted file mode 100644
index bb9b88a55408ac940254cc458e281fd35f97ea72..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qgsynthc
+++ /dev/null
@@ -1,609 +0,0 @@
-<?xml version="1.0" ?>
-<node xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xs="http://www.w3.org/2001/XMLSchema" xmlns:altera="http://www.altera.com/XMLSchema/Qsys/SystemTree">
-  <instanceKey xsi:type="xs:string">ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</instanceKey>
-  <instanceData xsi:type="data">
-    <parameters></parameters>
-    <interconnectAssignments></interconnectAssignments>
-    <className>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</className>
-    <version>1.0</version>
-    <name>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</name>
-    <uniqueName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</uniqueName>
-    <nonce>0</nonce>
-    <incidentConnections></incidentConnections>
-  </instanceData>
-  <children>
-    <node>
-      <instanceKey xsi:type="xs:string">xcvr_reset_control_0</instanceKey>
-      <instanceData xsi:type="data">
-        <parameters>
-          <parameter>
-            <name>componentDefinition</name>
-            <value>&lt;componentDefinition&gt;
-    &lt;boundary&gt;
-        &lt;interfaces&gt;
-            &lt;interface&gt;
-                &lt;name&gt;clock&lt;/name&gt;
-                &lt;type&gt;clock&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;clock&lt;/name&gt;
-                        &lt;role&gt;clk&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;clockRate&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;externallyDriven&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;NONE&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_analogreset&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_analogreset&lt;/name&gt;
-                        &lt;role&gt;rx_analogreset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;output&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_cal_busy&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_cal_busy&lt;/name&gt;
-                        &lt;role&gt;rx_cal_busy&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;input&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_digitalreset&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_digitalreset&lt;/name&gt;
-                        &lt;role&gt;rx_digitalreset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;output&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-                        &lt;role&gt;rx_is_lockedtodata&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;input&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_ready&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_ready&lt;/name&gt;
-                        &lt;role&gt;rx_ready&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;output&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-        &lt;/interfaces&gt;
-    &lt;/boundary&gt;
-    &lt;originalModuleInfo&gt;
-        &lt;className&gt;altera_xcvr_reset_control&lt;/className&gt;
-        &lt;version&gt;19.1&lt;/version&gt;
-        &lt;displayName&gt;Transceiver PHY Reset Controller Intel FPGA IP&lt;/displayName&gt;
-    &lt;/originalModuleInfo&gt;
-    &lt;systemInfoParameterDescriptors&gt;
-        &lt;descriptors&gt;
-            &lt;descriptor&gt;
-                &lt;parameterDefaultValue&gt;Stratix V&lt;/parameterDefaultValue&gt;
-                &lt;parameterName&gt;device_family&lt;/parameterName&gt;
-                &lt;parameterType&gt;java.lang.String&lt;/parameterType&gt;
-                &lt;systemInfotype&gt;DEVICE_FAMILY&lt;/systemInfotype&gt;
-            &lt;/descriptor&gt;
-        &lt;/descriptors&gt;
-    &lt;/systemInfoParameterDescriptors&gt;
-    &lt;systemInfos&gt;
-        &lt;connPtSystemInfos/&gt;
-    &lt;/systemInfos&gt;
-&lt;/componentDefinition&gt;</value>
-          </parameter>
-          <parameter>
-            <name>defaultBoundary</name>
-            <value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clock&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;clock&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_analogreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_analogreset&lt;/name&gt;
-                    &lt;role&gt;rx_analogreset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_cal_busy&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_cal_busy&lt;/name&gt;
-                    &lt;role&gt;rx_cal_busy&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_digitalreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_digitalreset&lt;/name&gt;
-                    &lt;role&gt;rx_digitalreset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-                    &lt;role&gt;rx_is_lockedtodata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_ready&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_ready&lt;/name&gt;
-                    &lt;role&gt;rx_ready&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</value>
-          </parameter>
-          <parameter>
-            <name>device_family</name>
-            <value>Arria 10</value>
-          </parameter>
-          <parameter>
-            <name>generationInfoDefinition</name>
-            <value>&lt;generationInfoDefinition&gt;
-    &lt;hdlLibraryName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/hdlLibraryName&gt;
-    &lt;fileSets&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;QUARTUS_SYNTH&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;SIM_VERILOG&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;SIM_VHDL&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-    &lt;/fileSets&gt;
-&lt;/generationInfoDefinition&gt;</value>
-          </parameter>
-          <parameter>
-            <name>hlsFile</name>
-            <value></value>
-          </parameter>
-          <parameter>
-            <name>logicalView</name>
-            <value>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip</value>
-          </parameter>
-          <parameter>
-            <name>moduleAssignmentDefinition</name>
-            <value>&lt;assignmentDefinition&gt;
-    &lt;assignmentValueMap/&gt;
-&lt;/assignmentDefinition&gt;</value>
-          </parameter>
-          <parameter>
-            <name>svInterfaceDefinition</name>
-            <value></value>
-          </parameter>
-        </parameters>
-        <interconnectAssignments></interconnectAssignments>
-        <className>altera_generic_component</className>
-        <version>1.0</version>
-        <name>xcvr_reset_control_0</name>
-        <uniqueName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</uniqueName>
-        <fixedName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fixedName>
-        <nonce>0</nonce>
-        <incidentConnections></incidentConnections>
-        <path>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.xcvr_reset_control_0</path>
-      </instanceData>
-      <children></children>
-    </node>
-  </children>
-</node>
\ No newline at end of file
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qip b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qip
deleted file mode 100644
index 4c030192d6f5173c091ee4a82072976ed404e7e4..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qip
+++ /dev/null
@@ -1,45 +0,0 @@
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_TOOL_NAME "QsysPrimePro"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_TOOL_VERSION "19.4"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_TOOL_ENV "QsysPrimePro"
-set_global_assignment -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name SOPCINFO_FILE [file join $::quartus(qip_path) "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.sopcinfo"]
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name SLD_INFO "QSYS_NAME ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 HAS_SOPCINFO 1 GENERATION_ID 0"
-set_global_assignment -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name MISC_FILE [file join $::quartus(qip_path) "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.cmp"]
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_TARGETED_DEVICE_FAMILY "Arria 10"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_GENERATED_DEVICE_FAMILY "{Arria 10}"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_QSYS_MODE "SYSTEM"
-set_global_assignment -name SYNTHESIS_ONLY_QIP ON
-set_global_assignment -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name MISC_FILE [file join $::quartus(qip_path) "../ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys"]
-
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_NAME "aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3hjdnJfcmVzZXRfY29udHJvbF8xMg=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_DISPLAY_NAME "R2VuZXJpYyBDb21wb25lbnQ="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_INTERNAL "Off"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_VERSION "MS4w"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_DESCRIPTION "QSBkeW5hbWljIGNvbXBvbmVudCB3aGVyZSB5b3UgY2FuIGFkZCwgbW9kaWZ5IG9yIHJlbW92ZSBpbnRlcmZhY2VzIGFuZCBwb3J0cyBvbiB0aGUgZmx5"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "Y29tcG9uZW50RGVmaW5pdGlvbg==::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::Q29tcG9uZW50IGRlZmluaXRpb24="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGlvbkluZm9EZWZpbml0aW9u::PGdlbmVyYXRpb25JbmZvRGVmaW5pdGlvbj4KICAgIDxoZGxMaWJyYXJ5TmFtZT5pcF9hcnJpYTEwX2Uxc2dfamVzZDIwNGJfcnhfeGN2cl9yZXNldF9jb250cm9sXzEyPC9oZGxMaWJyYXJ5TmFtZT4KICAgIDxmaWxlU2V0cz4KICAgICAgICA8ZmlsZVNldD4KICAgICAgICAgICAgPGZpbGVTZXROYW1lPmlwX2FycmlhMTBfZTFzZ19qZXNkMjA0Yl9yeF94Y3ZyX3Jlc2V0X2NvbnRyb2xfMTI8L2ZpbGVTZXROYW1lPgogICAgICAgICAgICA8ZmlsZVNldEZpeGVkTmFtZT5pcF9hcnJpYTEwX2Uxc2dfamVzZDIwNGJfcnhfeGN2cl9yZXNldF9jb250cm9sXzEyPC9maWxlU2V0Rml4ZWROYW1lPgogICAgICAgICAgICA8ZmlsZVNldEtpbmQ+UVVBUlRVU19TWU5USDwvZmlsZVNldEtpbmQ+CiAgICAgICAgICAgIDxmaWxlU2V0RmlsZXMvPgogICAgICAgIDwvZmlsZVNldD4KICAgICAgICA8ZmlsZVNldD4KICAgICAgICAgICAgPGZpbGVTZXROYW1lPmlwX2FycmlhMTBfZTFzZ19qZXNkMjA0Yl9yeF94Y3ZyX3Jlc2V0X2NvbnRyb2xfMTI8L2ZpbGVTZXROYW1lPgogICAgICAgICAgICA8ZmlsZVNldEZpeGVkTmFtZT5pcF9hcnJpYTEwX2Uxc2dfamVzZDIwNGJfcnhfeGN2cl9yZXNldF9jb250cm9sXzEyPC9maWxlU2V0Rml4ZWROYW1lPgogICAgICAgICAgICA8ZmlsZVNldEtpbmQ+U0lNX1ZFUklMT0c8L2ZpbGVTZXRLaW5kPgogICAgICAgICAgICA8ZmlsZVNldEZpbGVzLz4KICAgICAgICA8L2ZpbGVTZXQ+CiAgICAgICAgPGZpbGVTZXQ+CiAgICAgICAgICAgIDxmaWxlU2V0TmFtZT5pcF9hcnJpYTEwX2Uxc2dfamVzZDIwNGJfcnhfeGN2cl9yZXNldF9jb250cm9sXzEyPC9maWxlU2V0TmFtZT4KICAgICAgICAgICAgPGZpbGVTZXRGaXhlZE5hbWU+aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3hjdnJfcmVzZXRfY29udHJvbF8xMjwvZmlsZVNldEZpeGVkTmFtZT4KICAgICAgICAgICAgPGZpbGVTZXRLaW5kPlNJTV9WSERMPC9maWxlU2V0S2luZD4KICAgICAgICAgICAgPGZpbGVTZXRGaWxlcy8+CiAgICAgICAgPC9maWxlU2V0PgogICAgPC9maWxlU2V0cz4KPC9nZW5lcmF0aW9uSW5mb0RlZmluaXRpb24+::R2VuZXJhdGlvbiBCZWhhdmlvcg=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "bG9naWNhbFZpZXc=::aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3hjdnJfcmVzZXRfY29udHJvbF8xMi5pcA==::TG9naWNhbCB2aWV3"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "ZGVmYXVsdEJvdW5kYXJ5::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::RGVmYXVsdCBib3VuZGFyeQ=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "bW9kdWxlQXNzaWdubWVudERlZmluaXRpb24=::PGFzc2lnbm1lbnREZWZpbml0aW9uPgogICAgPGFzc2lnbm1lbnRWYWx1ZU1hcC8+CjwvYXNzaWdubWVudERlZmluaXRpb24+::TW9kdWxlIEFzc2lnbm1lbnRz"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX2ZhbWlseQ==::QXJyaWEgMTA=::ZGV2aWNlX2ZhbWlseQ=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBBWDExNVUyRjQ1RTFTRw==::QXV0byBERVZJQ0U="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_GROUP "R2VuZXJpYyBDb21wb25lbnQ="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_NAME "aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3hjdnJfcmVzZXRfY29udHJvbF8xMg=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_DISPLAY_NAME "aXBfYXJyaWExMF9lMXNnX2plc2QyMDRiX3J4X3hjdnJfcmVzZXRfY29udHJvbF8xMg=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_REPORT_HIERARCHY "On"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_INTERNAL "Off"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_VERSION "MS4w"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MA==::QXV0byBHRU5FUkFUSU9OX0lE"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBBWDExNVUyRjQ1RTFTRw==::QXV0byBERVZJQ0U="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::MQ==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19DTE9DS19SQVRF::LTE=::QXV0byBDTE9DS19SQVRF"
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19DTE9DS19ET01BSU4=::LTE=::QXV0byBDTE9DS19ET01BSU4="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19SRVNFVF9ET01BSU4=::LTE=::QXV0byBSRVNFVF9ET01BSU4="
-set_global_assignment -entity "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name IP_COMPONENT_GROUP "U3lzdGVt"
-
-
-set_global_assignment -library "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" -name VHDL_FILE [file join $::quartus(qip_path) "synth/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd"]
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.sopcinfo b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.sopcinfo
deleted file mode 100644
index c53a9fbcd9616bda2e5c19e27a058766f79f8e02..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.sopcinfo
+++ /dev/null
@@ -1,1166 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<EnsembleReport
- name="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
- kind="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
- version="1.0"
- fabric="QSYS">
- <!-- Format version 19.4 64 (Future versions may contain additional information.) -->
- <!-- 2020.11.26.17:15:22 -->
- <!-- A collection of modules and connections -->
- <parameter name="AUTO_GENERATION_ID">
-  <type>java.lang.Integer</type>
-  <value>0</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>GENERATION_ID</sysinfo_type>
- </parameter>
- <parameter name="AUTO_UNIQUE_ID">
-  <type>java.lang.String</type>
-  <value></value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>UNIQUE_ID</sysinfo_type>
- </parameter>
- <parameter name="AUTO_DEVICE_FAMILY">
-  <type>java.lang.String</type>
-  <value>ARRIA10</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
- </parameter>
- <parameter name="AUTO_DEVICE">
-  <type>java.lang.String</type>
-  <value>10AX115U2F45E1SG</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>DEVICE</sysinfo_type>
- </parameter>
- <parameter name="AUTO_DEVICE_SPEEDGRADE">
-  <type>java.lang.String</type>
-  <value>1</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>DEVICE_SPEEDGRADE</sysinfo_type>
- </parameter>
- <parameter name="AUTO_CLOCK_CLOCK_RATE">
-  <type>java.lang.Long</type>
-  <value>-1</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>CLOCK_RATE</sysinfo_type>
-  <sysinfo_arg>clock</sysinfo_arg>
- </parameter>
- <parameter name="AUTO_CLOCK_CLOCK_DOMAIN">
-  <type>java.lang.Integer</type>
-  <value>-1</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>CLOCK_DOMAIN</sysinfo_type>
-  <sysinfo_arg>clock</sysinfo_arg>
- </parameter>
- <parameter name="AUTO_CLOCK_RESET_DOMAIN">
-  <type>java.lang.Integer</type>
-  <value>-1</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>RESET_DOMAIN</sysinfo_type>
-  <sysinfo_arg>clock</sysinfo_arg>
- </parameter>
- <parameter name="deviceFamily">
-  <type>java.lang.String</type>
-  <value>Arria 10</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>false</visible>
-  <valid>true</valid>
-  <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
- </parameter>
- <parameter name="generateLegacySim">
-  <type>boolean</type>
-  <value>false</value>
-  <derived>false</derived>
-  <enabled>true</enabled>
-  <visible>true</visible>
-  <valid>true</valid>
- </parameter>
- <module
-   name="xcvr_reset_control_0"
-   kind="altera_xcvr_reset_control"
-   version="19.1"
-   entity="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-   library="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-   path="xcvr_reset_control_0"
-   hpath="xcvr_reset_control_0">
-  <!-- Describes a single module. Module parameters are
-the requested settings for a module instance. -->
-  <parameter name="componentDefinition">
-   <type>com.altera.qsys.blackboxmodule.definitions.ComponentDefinition</type>
-   <value><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>clock</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>clock</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>NONE</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_analogreset</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_analogreset</name>
-                        <role>rx_analogreset</role>
-                        <direction>Output</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_cal_busy</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_cal_busy</name>
-                        <role>rx_cal_busy</role>
-                        <direction>Input</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_digitalreset</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_digitalreset</name>
-                        <role>rx_digitalreset</role>
-                        <direction>Output</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_is_lockedtodata</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_is_lockedtodata</name>
-                        <role>rx_is_lockedtodata</role>
-                        <direction>Input</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>input</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>rx_ready</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>rx_ready</name>
-                        <role>rx_ready</role>
-                        <direction>Output</direction>
-                        <width>12</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>ui.blockdiagram.direction</key>
-                            <value>output</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_xcvr_reset_control</className>
-        <version>19.1</version>
-        <displayName>Transceiver PHY Reset Controller Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue>Stratix V</parameterDefaultValue>
-                <parameterName>device_family</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_FAMILY</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos/>
-    </systemInfos>
-</componentDefinition>]]></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="generationInfoDefinition">
-   <type>com.altera.qsys.blackboxmodule.definitions.GenerationInfoDefinition</type>
-   <value><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetName>
-            <fileSetFixedName>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="hlsFile">
-   <type>java.lang.String</type>
-   <value></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="logicalView">
-   <type>java.lang.String</type>
-   <value>ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip</value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="defaultBoundary">
-   <type>com.altera.sopcmodel.definition.BoundaryDefinition</type>
-   <value><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clock</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clock</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>NONE</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_analogreset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_analogreset</name>
-                    <role>rx_analogreset</role>
-                    <direction>Output</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_cal_busy</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_cal_busy</name>
-                    <role>rx_cal_busy</role>
-                    <direction>Input</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_digitalreset</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_digitalreset</name>
-                    <role>rx_digitalreset</role>
-                    <direction>Output</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_is_lockedtodata</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_is_lockedtodata</name>
-                    <role>rx_is_lockedtodata</role>
-                    <direction>Input</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>input</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>rx_ready</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>rx_ready</name>
-                    <role>rx_ready</role>
-                    <direction>Output</direction>
-                    <width>12</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>ui.blockdiagram.direction</key>
-                        <value>output</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="moduleAssignmentDefinition">
-   <type>com.altera.sopcmodel.definition.AssignmentDefinition</type>
-   <value><![CDATA[<assignmentDefinition>
-    <assignmentValueMap/>
-</assignmentDefinition>]]></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="svInterfaceDefinition">
-   <type>com.altera.qsys.blackboxmodule.definitions.ModuleSvInterfaceDefinition</type>
-   <value></value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-  </parameter>
-  <parameter name="device_family">
-   <type>java.lang.String</type>
-   <value>ARRIA10</value>
-   <derived>true</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
-  </parameter>
-  <parameter name="AUTO_DEVICE_FAMILY">
-   <type>java.lang.String</type>
-   <value>ARRIA10</value>
-   <derived>true</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
-  </parameter>
-  <parameter name="AUTO_DEVICE">
-   <type>java.lang.String</type>
-   <value>10AX115U2F45E1SG</value>
-   <derived>true</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-   <sysinfo_type>DEVICE</sysinfo_type>
-  </parameter>
-  <parameter name="deviceFamily">
-   <type>java.lang.String</type>
-   <value>Arria 10</value>
-   <derived>true</derived>
-   <enabled>true</enabled>
-   <visible>false</visible>
-   <valid>true</valid>
-   <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
-  </parameter>
-  <parameter name="generateLegacySim">
-   <type>boolean</type>
-   <value>false</value>
-   <derived>false</derived>
-   <enabled>true</enabled>
-   <visible>true</visible>
-   <valid>true</valid>
-  </parameter>
-  <interface name="clock" kind="clock_sink" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="externallyDriven">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="ptfSchematicName">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>clock</type>
-   <isStart>false</isStart>
-   <port>
-    <name>clock</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>clk</role>
-   </port>
-  </interface>
-  <interface name="reset" kind="reset_sink" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="synchronousEdges">
-    <type>com.altera.sopcmodel.reset.Reset$Edges</type>
-    <value>NONE</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>reset</type>
-   <isStart>false</isStart>
-   <port>
-    <name>reset</name>
-    <direction>Input</direction>
-    <width>1</width>
-    <role>reset</role>
-   </port>
-  </interface>
-  <interface name="rx_analogreset" kind="conduit_end" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <assignment>
-    <name>ui.blockdiagram.direction</name>
-    <value>output</value>
-   </assignment>
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="prSafe">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>conduit</type>
-   <isStart>false</isStart>
-   <port>
-    <name>rx_analogreset</name>
-    <direction>Output</direction>
-    <width>12</width>
-    <role>rx_analogreset</role>
-   </port>
-  </interface>
-  <interface name="rx_cal_busy" kind="conduit_end" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <assignment>
-    <name>ui.blockdiagram.direction</name>
-    <value>input</value>
-   </assignment>
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="prSafe">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>conduit</type>
-   <isStart>false</isStart>
-   <port>
-    <name>rx_cal_busy</name>
-    <direction>Input</direction>
-    <width>12</width>
-    <role>rx_cal_busy</role>
-   </port>
-  </interface>
-  <interface name="rx_digitalreset" kind="conduit_end" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <assignment>
-    <name>ui.blockdiagram.direction</name>
-    <value>output</value>
-   </assignment>
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="prSafe">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>conduit</type>
-   <isStart>false</isStart>
-   <port>
-    <name>rx_digitalreset</name>
-    <direction>Output</direction>
-    <width>12</width>
-    <role>rx_digitalreset</role>
-   </port>
-  </interface>
-  <interface name="rx_is_lockedtodata" kind="conduit_end" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <assignment>
-    <name>ui.blockdiagram.direction</name>
-    <value>input</value>
-   </assignment>
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="prSafe">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>conduit</type>
-   <isStart>false</isStart>
-   <port>
-    <name>rx_is_lockedtodata</name>
-    <direction>Input</direction>
-    <width>12</width>
-    <role>rx_is_lockedtodata</role>
-   </port>
-  </interface>
-  <interface name="rx_ready" kind="conduit_end" version="19.4">
-   <!-- The connection points exposed by a module instance for the
-particular module parameters. Connection points and their
-parameters are a RESULT of the module parameters. -->
-   <assignment>
-    <name>ui.blockdiagram.direction</name>
-    <value>output</value>
-   </assignment>
-   <parameter name="associatedClock">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="associatedReset">
-    <type>java.lang.String</type>
-    <value></value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="prSafe">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>false</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="deviceFamily">
-    <type>java.lang.String</type>
-    <value>UNKNOWN</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <parameter name="generateLegacySim">
-    <type>boolean</type>
-    <value>false</value>
-    <derived>false</derived>
-    <enabled>true</enabled>
-    <visible>true</visible>
-    <valid>true</valid>
-   </parameter>
-   <type>conduit</type>
-   <isStart>false</isStart>
-   <port>
-    <name>rx_ready</name>
-    <direction>Output</direction>
-    <width>12</width>
-    <role>rx_ready</role>
-   </port>
-  </interface>
- </module>
- <plugin>
-  <instanceCount>1</instanceCount>
-  <name>altera_generic_component</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype></subtype>
-  <displayName>Generic Component</displayName>
-  <version>1.0</version>
- </plugin>
- <plugin>
-  <instanceCount>1</instanceCount>
-  <name>clock_sink</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
-  <displayName>Clock Input</displayName>
-  <version>19.4</version>
- </plugin>
- <plugin>
-  <instanceCount>1</instanceCount>
-  <name>reset_sink</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
-  <displayName>Reset Input</displayName>
-  <version>19.4</version>
- </plugin>
- <plugin>
-  <instanceCount>5</instanceCount>
-  <name>conduit_end</name>
-  <type>com.altera.entityinterfaces.IElementClass</type>
-  <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
-  <displayName>Conduit</displayName>
-  <version>19.4</version>
- </plugin>
- <reportVersion>19.4 64</reportVersion>
- <uniqueIdentifier></uniqueIdentifier>
-</EnsembleReport>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.spd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.spd
deleted file mode 100644
index ca2ca3f098474824791471efb5101a2487777f0c..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.spd
+++ /dev/null
@@ -1,67 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<simPackage>
- <file
-   path="altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv"
-   type="SYSTEM_VERILOG"
-   library="altera_xcvr_reset_control_180" />
- <file
-   path="altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_functions.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_xcvr_reset_control_180"
-   simulator="modelsim" />
- <file
-   path="altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv"
-   type="SYSTEM_VERILOG"
-   library="altera_xcvr_reset_control_180" />
- <file
-   path="altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_resync.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_xcvr_reset_control_180"
-   simulator="modelsim" />
- <file
-   path="altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv"
-   type="SYSTEM_VERILOG"
-   library="altera_xcvr_reset_control_180" />
- <file
-   path="altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv"
-   type="SYSTEM_VERILOG"
-   library="altera_xcvr_reset_control_180" />
- <file
-   path="altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_reset_control.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_xcvr_reset_control_180"
-   simulator="modelsim" />
- <file
-   path="altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_reset_counter.sv"
-   type="SYSTEM_VERILOG_ENCRYPT"
-   library="altera_xcvr_reset_control_180"
-   simulator="modelsim" />
- <file
-   path="altera_xcvr_reset_control_180/sim/plain_files.txt"
-   type="OTHER"
-   library="altera_xcvr_reset_control_180" />
- <file
-   path="altera_xcvr_reset_control_180/sim/mentor_files.txt"
-   type="OTHER"
-   library="altera_xcvr_reset_control_180" />
- <file
-   path="altera_xcvr_reset_control_180/sim/cadence_files.txt"
-   type="OTHER"
-   library="altera_xcvr_reset_control_180" />
- <file
-   path="altera_xcvr_reset_control_180/sim/synopsys_files.txt"
-   type="OTHER"
-   library="altera_xcvr_reset_control_180" />
- <file
-   path="altera_xcvr_reset_control_180/sim/aldec_files.txt"
-   type="OTHER"
-   library="altera_xcvr_reset_control_180" />
- <file
-   path="sim/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd"
-   type="VHDL"
-   library="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-   hasInlineConfiguration="true" />
- <topLevel
-   name="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" />
- <deviceFamily name="arria10" />
-</simPackage>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.xml b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.xml
deleted file mode 100644
index cadfac8d6572a85f81418a9439bd7dabda7b9890..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.xml
+++ /dev/null
@@ -1,733 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<deploy
- date="2020.11.26.17:15:22"
- outputDirectory="/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/">
- <perimeter>
-  <parameter
-     name="AUTO_GENERATION_ID"
-     type="Integer"
-     defaultValue="0"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_UNIQUE_ID"
-     type="String"
-     defaultValue=""
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_DEVICE_FAMILY"
-     type="String"
-     defaultValue="Arria 10"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_DEVICE"
-     type="String"
-     defaultValue="10AX115U2F45E1SG"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_DEVICE_SPEEDGRADE"
-     type="String"
-     defaultValue="1"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_CLOCK_CLOCK_RATE"
-     type="Long"
-     defaultValue="-1"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_CLOCK_CLOCK_DOMAIN"
-     type="Integer"
-     defaultValue="-1"
-     onHdl="0"
-     affectsHdl="1" />
-  <parameter
-     name="AUTO_CLOCK_RESET_DOMAIN"
-     type="Integer"
-     defaultValue="-1"
-     onHdl="0"
-     affectsHdl="1" />
-  <interface name="clock" kind="clock" start="0">
-   <property name="clockRate" value="0" />
-   <property name="externallyDriven" value="false" />
-   <property name="ptfSchematicName" value="" />
-   <port name="clock_clk" direction="input" role="clk" width="1" />
-  </interface>
-  <interface name="reset" kind="reset" start="0">
-   <property name="associatedClock" value="" />
-   <property name="synchronousEdges" value="NONE" />
-   <port name="reset_reset" direction="input" role="reset" width="1" />
-  </interface>
-  <interface name="rx_analogreset" kind="conduit" start="0">
-   <property name="associatedClock" value="" />
-   <property name="associatedReset" value="" />
-   <property name="prSafe" value="false" />
-   <port
-       name="rx_analogreset_rx_analogreset"
-       direction="output"
-       role="rx_analogreset"
-       width="12" />
-  </interface>
-  <interface name="rx_cal_busy" kind="conduit" start="0">
-   <property name="associatedClock" value="" />
-   <property name="associatedReset" value="" />
-   <property name="prSafe" value="false" />
-   <port
-       name="rx_cal_busy_rx_cal_busy"
-       direction="input"
-       role="rx_cal_busy"
-       width="12" />
-  </interface>
-  <interface name="rx_digitalreset" kind="conduit" start="0">
-   <property name="associatedClock" value="" />
-   <property name="associatedReset" value="" />
-   <property name="prSafe" value="false" />
-   <port
-       name="rx_digitalreset_rx_digitalreset"
-       direction="output"
-       role="rx_digitalreset"
-       width="12" />
-  </interface>
-  <interface name="rx_is_lockedtodata" kind="conduit" start="0">
-   <property name="associatedClock" value="" />
-   <property name="associatedReset" value="" />
-   <property name="prSafe" value="false" />
-   <port
-       name="rx_is_lockedtodata_rx_is_lockedtodata"
-       direction="input"
-       role="rx_is_lockedtodata"
-       width="12" />
-  </interface>
-  <interface name="rx_ready" kind="conduit" start="0">
-   <property name="associatedClock" value="" />
-   <property name="associatedReset" value="" />
-   <property name="prSafe" value="false" />
-   <port
-       name="rx_ready_rx_ready"
-       direction="output"
-       role="rx_ready"
-       width="12" />
-  </interface>
- </perimeter>
- <entity
-   kind="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-   version="1.0"
-   name="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12">
-  <parameter name="AUTO_CLOCK_CLOCK_RATE" value="-1" />
-  <parameter name="AUTO_CLOCK_CLOCK_DOMAIN" value="-1" />
-  <parameter name="AUTO_CLOCK_RESET_DOMAIN" value="-1" />
-  <parameter name="AUTO_GENERATION_ID" value="0" />
-  <parameter name="AUTO_DEVICE" value="10AX115U2F45E1SG" />
-  <parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" />
-  <parameter name="AUTO_UNIQUE_ID" value="" />
-  <parameter name="AUTO_DEVICE_SPEEDGRADE" value="1" />
-  <generatedFiles>
-   <file
-       path="/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/synth/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd"
-       attributes="CONTAINS_INLINE_CONFIGURATION" />
-  </generatedFiles>
-  <childGeneratedFiles>
-   <file
-       path="/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/synth/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd"
-       attributes="CONTAINS_INLINE_CONFIGURATION" />
-  </childGeneratedFiles>
-  <sourceFiles>
-   <file
-       path="/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys" />
-  </sourceFiles>
-  <childSourceFiles/>
-  <messages>
-   <message
-       level="Info"
-       culprit="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12">"Generating: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"</message>
-   <message
-       level="Info"
-       culprit="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12">"Generating: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"</message>
-  </messages>
- </entity>
- <entity
-   kind="altera_generic_component"
-   version="1.0"
-   name="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12">
-  <parameter name="hlsFile" value="" />
-  <parameter name="svInterfaceDefinition" value="" />
-  <parameter name="AUTO_DEVICE" value="10AX115U2F45E1SG" />
-  <parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" />
-  <parameter
-     name="defaultBoundary"
-     value="&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clock&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;clock&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;NONE&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_analogreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_analogreset&lt;/name&gt;
-                    &lt;role&gt;rx_analogreset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_cal_busy&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_cal_busy&lt;/name&gt;
-                    &lt;role&gt;rx_cal_busy&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_digitalreset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_digitalreset&lt;/name&gt;
-                    &lt;role&gt;rx_digitalreset&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-                    &lt;role&gt;rx_is_lockedtodata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;input&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;rx_ready&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;rx_ready&lt;/name&gt;
-                    &lt;role&gt;rx_ready&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;12&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                        &lt;value&gt;output&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;" />
-  <parameter name="device_family" value="Arria 10" />
-  <parameter
-     name="componentDefinition"
-     value="&lt;componentDefinition&gt;
-    &lt;boundary&gt;
-        &lt;interfaces&gt;
-            &lt;interface&gt;
-                &lt;name&gt;clock&lt;/name&gt;
-                &lt;type&gt;clock&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;clock&lt;/name&gt;
-                        &lt;role&gt;clk&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;clockRate&lt;/key&gt;
-                            &lt;value&gt;0&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;externallyDriven&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;reset&lt;/name&gt;
-                &lt;type&gt;reset&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;reset&lt;/name&gt;
-                        &lt;role&gt;reset&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;1&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap/&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;synchronousEdges&lt;/key&gt;
-                            &lt;value&gt;NONE&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_analogreset&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_analogreset&lt;/name&gt;
-                        &lt;role&gt;rx_analogreset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;output&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_cal_busy&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_cal_busy&lt;/name&gt;
-                        &lt;role&gt;rx_cal_busy&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;input&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_digitalreset&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_digitalreset&lt;/name&gt;
-                        &lt;role&gt;rx_digitalreset&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;output&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_is_lockedtodata&lt;/name&gt;
-                        &lt;role&gt;rx_is_lockedtodata&lt;/role&gt;
-                        &lt;direction&gt;Input&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;input&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-            &lt;interface&gt;
-                &lt;name&gt;rx_ready&lt;/name&gt;
-                &lt;type&gt;conduit&lt;/type&gt;
-                &lt;isStart&gt;false&lt;/isStart&gt;
-                &lt;ports&gt;
-                    &lt;port&gt;
-                        &lt;name&gt;rx_ready&lt;/name&gt;
-                        &lt;role&gt;rx_ready&lt;/role&gt;
-                        &lt;direction&gt;Output&lt;/direction&gt;
-                        &lt;width&gt;12&lt;/width&gt;
-                        &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                        &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;/port&gt;
-                &lt;/ports&gt;
-                &lt;assignments&gt;
-                    &lt;assignmentValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;ui.blockdiagram.direction&lt;/key&gt;
-                            &lt;value&gt;output&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/assignmentValueMap&gt;
-                &lt;/assignments&gt;
-                &lt;parameters&gt;
-                    &lt;parameterValueMap&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;/entry&gt;
-                        &lt;entry&gt;
-                            &lt;key&gt;prSafe&lt;/key&gt;
-                            &lt;value&gt;false&lt;/value&gt;
-                        &lt;/entry&gt;
-                    &lt;/parameterValueMap&gt;
-                &lt;/parameters&gt;
-            &lt;/interface&gt;
-        &lt;/interfaces&gt;
-    &lt;/boundary&gt;
-    &lt;originalModuleInfo&gt;
-        &lt;className&gt;altera_xcvr_reset_control&lt;/className&gt;
-        &lt;version&gt;19.1&lt;/version&gt;
-        &lt;displayName&gt;Transceiver PHY Reset Controller Intel FPGA IP&lt;/displayName&gt;
-    &lt;/originalModuleInfo&gt;
-    &lt;systemInfoParameterDescriptors&gt;
-        &lt;descriptors&gt;
-            &lt;descriptor&gt;
-                &lt;parameterDefaultValue&gt;Stratix V&lt;/parameterDefaultValue&gt;
-                &lt;parameterName&gt;device_family&lt;/parameterName&gt;
-                &lt;parameterType&gt;java.lang.String&lt;/parameterType&gt;
-                &lt;systemInfotype&gt;DEVICE_FAMILY&lt;/systemInfotype&gt;
-            &lt;/descriptor&gt;
-        &lt;/descriptors&gt;
-    &lt;/systemInfoParameterDescriptors&gt;
-    &lt;systemInfos&gt;
-        &lt;connPtSystemInfos/&gt;
-    &lt;/systemInfos&gt;
-&lt;/componentDefinition&gt;" />
-  <parameter
-     name="generationInfoDefinition"
-     value="&lt;generationInfoDefinition&gt;
-    &lt;hdlLibraryName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/hdlLibraryName&gt;
-    &lt;fileSets&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;QUARTUS_SYNTH&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;SIM_VERILOG&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-        &lt;fileSet&gt;
-            &lt;fileSetName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetName&gt;
-            &lt;fileSetFixedName&gt;ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12&lt;/fileSetFixedName&gt;
-            &lt;fileSetKind&gt;SIM_VHDL&lt;/fileSetKind&gt;
-            &lt;fileSetFiles/&gt;
-        &lt;/fileSet&gt;
-    &lt;/fileSets&gt;
-&lt;/generationInfoDefinition&gt;" />
-  <parameter
-     name="logicalView"
-     value="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip" />
-  <parameter
-     name="moduleAssignmentDefinition"
-     value="&lt;assignmentDefinition&gt;
-    &lt;assignmentValueMap/&gt;
-&lt;/assignmentDefinition&gt;" />
-  <generatedFiles/>
-  <childGeneratedFiles/>
-  <sourceFiles/>
-  <childSourceFiles/>
-  <instantiator
-     instantiator="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-     as="xcvr_reset_control_0" />
-  <messages>
-   <message
-       level="Info"
-       culprit="ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12">"Generating: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"</message>
-  </messages>
- </entity>
-</deploy>
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_bb.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_bb.v
deleted file mode 100644
index 4885be23ab1ae4e21bae96789179a612a4618e9f..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_bb.v
+++ /dev/null
@@ -1,11 +0,0 @@
-module ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 (
-		input  wire        clock_clk,                             //              clock.clk
-		input  wire        reset_reset,                           //              reset.reset
-		output wire [11:0] rx_analogreset_rx_analogreset,         //     rx_analogreset.rx_analogreset
-		input  wire [11:0] rx_cal_busy_rx_cal_busy,               //        rx_cal_busy.rx_cal_busy
-		output wire [11:0] rx_digitalreset_rx_digitalreset,       //    rx_digitalreset.rx_digitalreset
-		input  wire [11:0] rx_is_lockedtodata_rx_is_lockedtodata, // rx_is_lockedtodata.rx_is_lockedtodata
-		output wire [11:0] rx_ready_rx_ready                      //           rx_ready.rx_ready
-	);
-endmodule
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_generation.rpt b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_generation.rpt
deleted file mode 100644
index 473d13ece67e110ae3d67500b7f97e473a6335c7..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_generation.rpt
+++ /dev/null
@@ -1,35 +0,0 @@
-Info: Generated by version: 19.4 build 64
-Info: Starting: Create block symbol file (.bsf)
-Info: qsys-generate /home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys --block-symbol-file --output-directory=/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 --family="Arria 10" --part=10AX115U2F45E1SG
-Progress: Loading jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys
-Progress: Reading input file
-Progress: Adding xcvr_reset_control_0 [altera_generic_component 1.0]
-Progress: Parameterizing module xcvr_reset_control_0
-Progress: Building connections
-Progress: Parameterizing connections
-Progress: Validating
-Progress: Done reading input file
-Info: qsys-generate succeeded.
-Info: Finished: Create block symbol file (.bsf)
-Info: 
-Info: Starting: Create HDL design files for synthesis
-Info: qsys-generate /home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys --synthesis=VHDL --output-directory=/home/hiemstra/git/hdl/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 --family="Arria 10" --part=10AX115U2F45E1SG
-Progress: Loading jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys
-Progress: Reading input file
-Progress: Adding xcvr_reset_control_0 [altera_generic_component 1.0]
-Progress: Parameterizing module xcvr_reset_control_0
-Progress: Building connections
-Progress: Parameterizing connections
-Progress: Validating
-Progress: Done reading input file
-Info: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12: "Transforming system: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-Info: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12: "Naming system components in system: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-Info: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12: "Processing generation queue"
-Info: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12: "Generating: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-Info: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12: "Generating: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-Info: ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12: Done "ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12" with 2 modules, 1 files
-Info: qsys-generate succeeded.
-Info: Finished: Create HDL design files for synthesis
-Info: Starting: Generate IP Core Documentation
-Info: No documentation filesets were found for components in ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12. No files generated.
-Info: Finished: Generate IP Core Documentation
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_inst.v b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_inst.v
deleted file mode 100644
index 482848f5c3c3990b620d5a7a70a3756abfc73cd8..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_inst.v
+++ /dev/null
@@ -1,10 +0,0 @@
-	ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 u0 (
-		.clock_clk                             (_connected_to_clock_clk_),                             //   input,   width = 1,              clock.clk
-		.reset_reset                           (_connected_to_reset_reset_),                           //   input,   width = 1,              reset.reset
-		.rx_analogreset_rx_analogreset         (_connected_to_rx_analogreset_rx_analogreset_),         //  output,  width = 12,     rx_analogreset.rx_analogreset
-		.rx_cal_busy_rx_cal_busy               (_connected_to_rx_cal_busy_rx_cal_busy_),               //   input,  width = 12,        rx_cal_busy.rx_cal_busy
-		.rx_digitalreset_rx_digitalreset       (_connected_to_rx_digitalreset_rx_digitalreset_),       //  output,  width = 12,    rx_digitalreset.rx_digitalreset
-		.rx_is_lockedtodata_rx_is_lockedtodata (_connected_to_rx_is_lockedtodata_rx_is_lockedtodata_), //   input,  width = 12, rx_is_lockedtodata.rx_is_lockedtodata
-		.rx_ready_rx_ready                     (_connected_to_rx_ready_rx_ready_)                      //  output,  width = 12,           rx_ready.rx_ready
-	);
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_inst.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_inst.vhd
deleted file mode 100644
index 105cd6fcaf9efe602cdaa2793dd652d3e0d52a46..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_inst.vhd
+++ /dev/null
@@ -1,23 +0,0 @@
-	component ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 is
-		port (
-			clock_clk                             : in  std_logic                     := 'X';             -- clk
-			reset_reset                           : in  std_logic                     := 'X';             -- reset
-			rx_analogreset_rx_analogreset         : out std_logic_vector(11 downto 0);                    -- rx_analogreset
-			rx_cal_busy_rx_cal_busy               : in  std_logic_vector(11 downto 0) := (others => 'X'); -- rx_cal_busy
-			rx_digitalreset_rx_digitalreset       : out std_logic_vector(11 downto 0);                    -- rx_digitalreset
-			rx_is_lockedtodata_rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => 'X'); -- rx_is_lockedtodata
-			rx_ready_rx_ready                     : out std_logic_vector(11 downto 0)                     -- rx_ready
-		);
-	end component ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12;
-
-	u0 : component ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12
-		port map (
-			clock_clk                             => CONNECTED_TO_clock_clk,                             --              clock.clk
-			reset_reset                           => CONNECTED_TO_reset_reset,                           --              reset.reset
-			rx_analogreset_rx_analogreset         => CONNECTED_TO_rx_analogreset_rx_analogreset,         --     rx_analogreset.rx_analogreset
-			rx_cal_busy_rx_cal_busy               => CONNECTED_TO_rx_cal_busy_rx_cal_busy,               --        rx_cal_busy.rx_cal_busy
-			rx_digitalreset_rx_digitalreset       => CONNECTED_TO_rx_digitalreset_rx_digitalreset,       --    rx_digitalreset.rx_digitalreset
-			rx_is_lockedtodata_rx_is_lockedtodata => CONNECTED_TO_rx_is_lockedtodata_rx_is_lockedtodata, -- rx_is_lockedtodata.rx_is_lockedtodata
-			rx_ready_rx_ready                     => CONNECTED_TO_rx_ready_rx_ready                      --           rx_ready.rx_ready
-		);
-
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/modelsim_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/modelsim_files.tcl
deleted file mode 100644
index a349ee6edf13a8710ef265c52c4125f12856a7fb..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/modelsim_files.tcl
+++ /dev/null
@@ -1,73 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_xcvr_reset_control_180                     1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv"]\"  -work altera_xcvr_reset_control_180"           
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_functions.sv"]\"  -work altera_xcvr_reset_control_180"    
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv"]\"  -work altera_xcvr_reset_control_180"                 
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_resync.sv"]\"  -work altera_xcvr_reset_control_180"          
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv"]\"  -work altera_xcvr_reset_control_180"       
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv"]\"  -work altera_xcvr_reset_control_180"          
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/mentor/altera_xcvr_reset_control.sv"]\"  -work altera_xcvr_reset_control_180"
-    lappend design_files "vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/mentor/alt_xcvr_reset_counter.sv"]\"  -work altera_xcvr_reset_control_180"   
-    lappend design_files "vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd"]\"  -work ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"      
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-  proc normalize_path {FILEPATH} {
-      if {[catch { package require fileutil } err]} { 
-          return $FILEPATH 
-      } 
-      set path [fileutil::lexnormalize [file join [pwd] $FILEPATH]]  
-      if {[file pathtype $FILEPATH] eq "relative"} { 
-          set path [fileutil::relative [pwd] $path] 
-      } 
-      return $path 
-  } 
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/ncsim_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/ncsim_files.tcl
deleted file mode 100644
index 55177a6f4bdc8483d21525fbc98e293a632abb29..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/ncsim_files.tcl
+++ /dev/null
@@ -1,59 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_xcvr_reset_control_180                     1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv\"  -work altera_xcvr_reset_control_180 -cdslib  ./cds_libs/altera_xcvr_reset_control_180.cds.lib"    
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv\"  -work altera_xcvr_reset_control_180 -cdslib  ./cds_libs/altera_xcvr_reset_control_180.cds.lib"          
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv\"  -work altera_xcvr_reset_control_180 -cdslib  ./cds_libs/altera_xcvr_reset_control_180.cds.lib"
-    lappend design_files "ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv\"  -work altera_xcvr_reset_control_180 -cdslib  ./cds_libs/altera_xcvr_reset_control_180.cds.lib"   
-    lappend design_files "ncvhdl -v93 $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd\"  -work ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"                                                    
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/riviera_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/riviera_files.tcl
deleted file mode 100644
index 016c25828ba19dd9a948bf3a34162054c33311be..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/riviera_files.tcl
+++ /dev/null
@@ -1,69 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_xcvr_reset_control_180                     1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv"]\"  -work altera_xcvr_reset_control_180"        
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv"]\"  -work altera_xcvr_reset_control_180"              
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv"]\"  -work altera_xcvr_reset_control_180"    
-    lappend design_files "vlog  $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv"]\"  -work altera_xcvr_reset_control_180"       
-    lappend design_files "vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"[normalize_path "$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd"]\"  -work ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-  proc normalize_path {FILEPATH} {
-      if {[catch { package require fileutil } err]} { 
-          return $FILEPATH 
-      } 
-      set path [fileutil::lexnormalize [file join [pwd] $FILEPATH]]  
-      if {[file pathtype $FILEPATH] eq "relative"} { 
-          set path [fileutil::relative [pwd] $path] 
-      } 
-      return $path 
-  } 
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/vcs_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/vcs_files.tcl
deleted file mode 100644
index f049726753c4de4d1dbd9a654a1ad8ed9f1c2bd8..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/vcs_files.tcl
+++ /dev/null
@@ -1,47 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 {
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {QSYS_SIMDIR} {
-    set design_files [dict create]
-    error "Skipping VCS script generation since VHDL file $QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd is required for simulation"
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/vcsmx_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/vcsmx_files.tcl
deleted file mode 100644
index b40892580b6c3d87200ba010ecb2b2bde2405e57..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/vcsmx_files.tcl
+++ /dev/null
@@ -1,59 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_xcvr_reset_control_180                     1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv\"  -work altera_xcvr_reset_control_180"    
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv\"  -work altera_xcvr_reset_control_180"          
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv\"  -work altera_xcvr_reset_control_180"
-    lappend design_files "vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv\"  -work altera_xcvr_reset_control_180"   
-    lappend design_files "vhdlan -xlrm $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd\"  -work ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"    
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/xcelium_files.tcl b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/xcelium_files.tcl
deleted file mode 100644
index 84cbd448753100662bb840a0b4b30d5a8ca04c26..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/common/xcelium_files.tcl
+++ /dev/null
@@ -1,59 +0,0 @@
-
-namespace eval ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 {
-  proc get_design_libraries {} {
-    set libraries [dict create]
-    dict set libraries altera_xcvr_reset_control_180                     1
-    dict set libraries ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 1
-    return $libraries
-  }
-  
-  proc get_memory_files {QSYS_SIMDIR} {
-    set memory_files [list]
-    return $memory_files
-  }
-  
-  proc get_common_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [dict create]
-    return $design_files
-  }
-  
-  proc get_design_files {USER_DEFINED_COMPILE_OPTIONS USER_DEFINED_VERILOG_COMPILE_OPTIONS USER_DEFINED_VHDL_COMPILE_OPTIONS QSYS_SIMDIR} {
-    set design_files [list]
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_functions.sv\"  -work altera_xcvr_reset_control_180 -cdslib  ./cds_libs/altera_xcvr_reset_control_180.cds.lib"    
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_resync.sv\"  -work altera_xcvr_reset_control_180 -cdslib  ./cds_libs/altera_xcvr_reset_control_180.cds.lib"          
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/altera_xcvr_reset_control.sv\"  -work altera_xcvr_reset_control_180 -cdslib  ./cds_libs/altera_xcvr_reset_control_180.cds.lib"
-    lappend design_files "xmvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/../altera_xcvr_reset_control_180/sim/alt_xcvr_reset_counter.sv\"  -work altera_xcvr_reset_control_180 -cdslib  ./cds_libs/altera_xcvr_reset_control_180.cds.lib"   
-    lappend design_files "xmvhdl -v93 $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS  \"$QSYS_SIMDIR/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd\"  -work ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12"                                                    
-    return $design_files
-  }
-  
-  proc get_elab_options {SIMULATOR_TOOL_BITNESS} {
-    set ELAB_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ELAB_OPTIONS
-  }
-  
-  
-  proc get_sim_options {SIMULATOR_TOOL_BITNESS} {
-    set SIM_OPTIONS ""
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $SIM_OPTIONS
-  }
-  
-  
-  proc get_env_variables {SIMULATOR_TOOL_BITNESS} {
-    set ENV_VARIABLES [dict create]
-    set LD_LIBRARY_PATH [dict create]
-    dict set ENV_VARIABLES "LD_LIBRARY_PATH" $LD_LIBRARY_PATH
-    if ![ string match "bit_64" $SIMULATOR_TOOL_BITNESS ] {
-    } else {
-    }
-    return $ENV_VARIABLES
-  }
-  
-  
-}
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd
deleted file mode 100644
index 9fa3098bceb2a13a62d7245728ba51999a8a4b78..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/sim/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd
+++ /dev/null
@@ -1,115 +0,0 @@
--- ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd
-
--- Generated using ACDS version 18.0 219
-
-library IEEE;
-library altera_xcvr_reset_control_180;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-
-entity ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 is
-	port (
-		clock              : in  std_logic                     := '0';             --              clock.clk
-		pll_powerdown      : out std_logic_vector(0 downto 0);                     --      pll_powerdown.pll_powerdown
-		reset              : in  std_logic                     := '0';             --              reset.reset
-		rx_analogreset     : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-		rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-		rx_digitalreset    : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-		rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-		rx_ready           : out std_logic_vector(11 downto 0)                     --           rx_ready.rx_ready
-	);
-end entity ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12;
-
-architecture rtl of ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 is
-	component altera_xcvr_reset_control_cmp is
-		generic (
-			CHANNELS              : integer := 1;
-			PLLS                  : integer := 1;
-			SYS_CLK_IN_MHZ        : integer := 250;
-			SYNCHRONIZE_RESET     : integer := 1;
-			REDUCED_SIM_TIME      : integer := 1;
-			TX_PLL_ENABLE         : integer := 1;
-			T_PLL_POWERDOWN       : integer := 1000;
-			SYNCHRONIZE_PLL_RESET : integer := 0;
-			TX_ENABLE             : integer := 1;
-			TX_PER_CHANNEL        : integer := 0;
-			T_TX_ANALOGRESET      : integer := 0;
-			T_TX_DIGITALRESET     : integer := 20;
-			T_PLL_LOCK_HYST       : integer := 0;
-			EN_PLL_CAL_BUSY       : integer := 0;
-			RX_ENABLE             : integer := 1;
-			RX_PER_CHANNEL        : integer := 0;
-			T_RX_ANALOGRESET      : integer := 40;
-			T_RX_DIGITALRESET     : integer := 4000
-		);
-		port (
-			clock              : in  std_logic                             := 'X';             -- clk
-			reset              : in  std_logic                             := 'X';             -- reset
-			pll_powerdown      : out std_logic_vector(PLLS-1 downto 0);                        -- pll_powerdown
-			rx_analogreset     : out std_logic_vector(CHANNELS-1 downto 0);                    -- rx_analogreset
-			rx_digitalreset    : out std_logic_vector(CHANNELS-1 downto 0);                    -- rx_digitalreset
-			rx_ready           : out std_logic_vector(CHANNELS-1 downto 0);                    -- rx_ready
-			rx_is_lockedtodata : in  std_logic_vector(CHANNELS-1 downto 0) := (others => 'X'); -- rx_is_lockedtodata
-			rx_cal_busy        : in  std_logic_vector(CHANNELS-1 downto 0) := (others => 'X'); -- rx_cal_busy
-			tx_analogreset     : out std_logic_vector(11 downto 0);                            -- tx_analogreset
-			tx_digitalreset    : out std_logic_vector(11 downto 0);                            -- tx_digitalreset
-			tx_ready           : out std_logic_vector(11 downto 0);                            -- tx_ready
-			pll_locked         : in  std_logic_vector(0 downto 0)          := (others => 'X'); -- pll_locked
-			pll_select         : in  std_logic_vector(0 downto 0)          := (others => 'X'); -- pll_select
-			tx_cal_busy        : in  std_logic_vector(11 downto 0)         := (others => 'X'); -- tx_cal_busy
-			pll_cal_busy       : in  std_logic_vector(0 downto 0)          := (others => 'X'); -- pll_cal_busy
-			tx_manual          : in  std_logic_vector(11 downto 0)         := (others => 'X'); -- tx_reset_mode
-			rx_manual          : in  std_logic_vector(11 downto 0)         := (others => 'X'); -- rx_reset_mode
-			tx_digitalreset_or : in  std_logic_vector(11 downto 0)         := (others => 'X'); -- tx_digitalreset_or
-			rx_digitalreset_or : in  std_logic_vector(11 downto 0)         := (others => 'X')  -- rx_digitalreset_or
-		);
-	end component altera_xcvr_reset_control_cmp;
-
-	for xcvr_reset_control_0 : altera_xcvr_reset_control_cmp
-		use entity altera_xcvr_reset_control_180.altera_xcvr_reset_control;
-begin
-
-	xcvr_reset_control_0 : component altera_xcvr_reset_control_cmp
-		generic map (
-			CHANNELS              => 12,
-			PLLS                  => 1,
-			SYS_CLK_IN_MHZ        => 100,
-			SYNCHRONIZE_RESET     => 1,
-			REDUCED_SIM_TIME      => 1,
-			TX_PLL_ENABLE         => 1,
-			T_PLL_POWERDOWN       => 1000,
-			SYNCHRONIZE_PLL_RESET => 0,
-			TX_ENABLE             => 0,
-			TX_PER_CHANNEL        => 0,
-			T_TX_ANALOGRESET      => 0,
-			T_TX_DIGITALRESET     => 20,
-			T_PLL_LOCK_HYST       => 0,
-			EN_PLL_CAL_BUSY       => 0,
-			RX_ENABLE             => 1,
-			RX_PER_CHANNEL        => 0,
-			T_RX_ANALOGRESET      => 70000,
-			T_RX_DIGITALRESET     => 4000
-		)
-		port map (
-			clock              => clock,              --              clock.clk
-			reset              => reset,              --              reset.reset
-			pll_powerdown      => pll_powerdown,      --      pll_powerdown.pll_powerdown
-			rx_analogreset     => rx_analogreset,     --     rx_analogreset.rx_analogreset
-			rx_digitalreset    => rx_digitalreset,    --    rx_digitalreset.rx_digitalreset
-			rx_ready           => rx_ready,           --           rx_ready.rx_ready
-			rx_is_lockedtodata => rx_is_lockedtodata, -- rx_is_lockedtodata.rx_is_lockedtodata
-			rx_cal_busy        => rx_cal_busy,        --        rx_cal_busy.rx_cal_busy
-			tx_analogreset     => open,               --        (terminated)
-			tx_digitalreset    => open,               --        (terminated)
-			tx_ready           => open,               --        (terminated)
-			pll_locked         => "0",                --        (terminated)
-			pll_select         => "0",                --        (terminated)
-			tx_cal_busy        => "000000000000",     --        (terminated)
-			pll_cal_busy       => "0",                --        (terminated)
-			tx_manual          => "000000000000",     --        (terminated)
-			rx_manual          => "000000000000",     --        (terminated)
-			tx_digitalreset_or => "000000000000",     --        (terminated)
-			rx_digitalreset_or => "000000000000"      --        (terminated)
-		);
-
-end architecture rtl; -- of ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/synth/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/synth/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd
deleted file mode 100644
index 8c2cd661b43f9854eb20c445e8bd07df5298c2aa..0000000000000000000000000000000000000000
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12/synth/ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd
+++ /dev/null
@@ -1,50 +0,0 @@
--- ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.vhd
-
--- Generated using ACDS version 19.4 64
-
-library IEEE;
-library ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
-
-entity ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 is
-	port (
-		clock_clk                             : in  std_logic                     := '0';             --              clock.clk
-		reset_reset                           : in  std_logic                     := '0';             --              reset.reset
-		rx_analogreset_rx_analogreset         : out std_logic_vector(11 downto 0);                    --     rx_analogreset.rx_analogreset
-		rx_cal_busy_rx_cal_busy               : in  std_logic_vector(11 downto 0) := (others => '0'); --        rx_cal_busy.rx_cal_busy
-		rx_digitalreset_rx_digitalreset       : out std_logic_vector(11 downto 0);                    --    rx_digitalreset.rx_digitalreset
-		rx_is_lockedtodata_rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => '0'); -- rx_is_lockedtodata.rx_is_lockedtodata
-		rx_ready_rx_ready                     : out std_logic_vector(11 downto 0)                     --           rx_ready.rx_ready
-	);
-end entity ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12;
-
-architecture rtl of ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12 is
-	component ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_cmp is
-		port (
-			clock              : in  std_logic                     := 'X';             -- clk
-			reset              : in  std_logic                     := 'X';             -- reset
-			rx_analogreset     : out std_logic_vector(11 downto 0);                    -- rx_analogreset
-			rx_cal_busy        : in  std_logic_vector(11 downto 0) := (others => 'X'); -- rx_cal_busy
-			rx_digitalreset    : out std_logic_vector(11 downto 0);                    -- rx_digitalreset
-			rx_is_lockedtodata : in  std_logic_vector(11 downto 0) := (others => 'X'); -- rx_is_lockedtodata
-			rx_ready           : out std_logic_vector(11 downto 0)                     -- rx_ready
-		);
-	end component ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_cmp;
-
-	for xcvr_reset_control_0 : ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_cmp
-		use entity ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12;
-begin
-
-	xcvr_reset_control_0 : component ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12_cmp
-		port map (
-			clock              => clock_clk,                             --              clock.clk
-			reset              => reset_reset,                           --              reset.reset
-			rx_analogreset     => rx_analogreset_rx_analogreset,         --     rx_analogreset.rx_analogreset
-			rx_cal_busy        => rx_cal_busy_rx_cal_busy,               --        rx_cal_busy.rx_cal_busy
-			rx_digitalreset    => rx_digitalreset_rx_digitalreset,       --    rx_digitalreset.rx_digitalreset
-			rx_is_lockedtodata => rx_is_lockedtodata_rx_is_lockedtodata, -- rx_is_lockedtodata.rx_is_lockedtodata
-			rx_ready           => rx_ready_rx_ready                      --           rx_ready.rx_ready
-		);
-
-end architecture rtl; -- of ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12